Publications



JabRef Output
Kreon: An Efficient Memory-Mapped Key-Value Store for Flash Storage
Papagiannis, A.; Saloustros, G.; Xanthakis, G.; Kalaentzis, G.; González-Férez, P. & Bilas, A.
ACM Trans. Storage
,
Vol. 17
,
pp. 7:1-7:32
,
2021
ACOTSP-MF: A memory-friendly and highly scalable ACOTSP approach
Martinez, P. A. & Garcia, J. M.
Eng. Appl. Artif. Intell.
,
Vol. 99
,
pp. 104131
,
2021
On Value Recomputation to Accelerate Invisible Speculation
Sakalis, C.; Chowdhury, Z. I.; Wadle, S.; Akturk, I.; Ros, A.; Själander, M.; Kaxiras, S. & Karpuzcu, U. R.
CoRR
,
Vol. abs/2102.10932
,
2021
Concurrent Irrevocability in Best-Effort Hardware Transactional Memory
Gil, J. R. T.; Pascual, R. F.; Ros, A. & Acacio, M. E.
IEEE Trans. Parallel Distributed Syst.
,
Vol. 31
,
pp. 1301-1315
,
2020
Re-engineering the ant colony optimization for CMP architectures
Cecilia, J. M. & Garcia, J. M.
J. Supercomput.
,
Vol. 76
,
pp. 4581-4602
,
2020
Integrating software and hardware hierarchies in an autotuning method for parallel routines in heterogeneous clusters
Cámara, J.; Cuenca, J. & Giménez, D.
J. Supercomput.
,
Vol. 76
,
pp. 9922-9941
,
2020
Understanding Selective Delay as a Method for Efficient Secure Speculative Execution
Sakalis, C.; Kaxiras, S.; Ros, A.; Jimborean, A. & Själander, M.
IEEE Trans. Computers
,
Vol. 69
,
pp. 1584-1595
,
2020
A mapping study of ensemble classification methods in lung cancer decision support systems
Hosni, M.; Garcia-Mateos, G.; Carrillo-de-Gea, J. M.; Idri, A. & Alemán, J. L. F.
Medical Biol. Eng. Comput.
,
Vol. 58
,
pp. 2177-2193
,
2020
PfTouch: Concurrent page-fault handling for Intel restricted transactional memory
Gil, J. R. T.; Pascual, R. F.; Ros, A. & Acacio, M. E.
J. Parallel Distributed Comput.
,
Vol. 145
,
pp. 111-123
,
2020
Evaluating the Potential Applications of Quaternary Logic for Approximate Computing
Sakalis, C.; Jimborean, A.; Kaxiras, S. & Själander, M.
ACM J. Emerg. Technol. Comput. Syst.
,
Vol. 16
,
pp. 5:1-5:25
,
2020
Offloading strategies for Stencil kernels on the KNC Xeon Phi architecture: Accuracy versus performance
Hernández, M.; Cebrian, J. M.; Cecilia, J. M. & Garcia, J. M.
Int. J. High Perform. Comput. Appl.
,
Vol. 34
,
2020
High-throughput fuzzy clustering on heterogeneous architectures
Cebrian, J. M.; Imbernón, B.; Soto, J. A.; Garcia, J. M. & Cecilia, J. M.
Future Gener. Comput. Syst.
,
Vol. 106
,
pp. 401-411
,
2020
Deep learning approach to left ventricular non-compaction measurement
Rodriguez-de-Vera, J. M.; González-Carrillo, J.; Garcia, J. M. & Bernabé, G.
CoRR
,
Vol. abs/2011.14773
,
2020
A novel auction system for selecting advertisements in Real-Time bidding
Miralles-Pechuán, L.; Jiménez, F. & Carrasco, J. M. G.
CoRR
,
Vol. abs/2010.11981
,
2020
STONNE: A Detailed Architectural Simulator for Flexible Neural Network Accelerators
Muñoz-Martinez, F.; Abellán, J. L.; Acacio, M. E. & Krishna, T.
CoRR
,
Vol. abs/2006.07137
,
2020
Using PHAST to port Caffe library: First experiences and lessons learned
Gómez-Hernández, E. J.; Martinez, P. A.; Peccerillo, B.; Bartolini, S.; Carrasco, J. M. G. & Bernabé, G.
CoRR
,
Vol. abs/2005.13076
,
2020
The MosaicSim Simulator (Full Technical Report)
Matthews, O.; Manocha, A.; Giri, D.; Orenes-Vera, M.; Tureci, E.; Sorensen, T.; Ham, T. J.; Aragón, J. L.; Carloni, L. P. & Martonosi, M.
CoRR
,
Vol. abs/2004.07415
,
2020
Estimation of different ripening stages of Fuji apples using image processing and spectroscopy based on the majority voting method
Pourdarbani, R.; Sabzi, S.; Kalantari, D.; Paliwal, J.; Benmouna, B.; Garcia-Mateos, G. & Molina-Martinez, J. M.
Comput. Electron. Agric.
,
Vol. 176
,
pp. 105643
,
2020
The Entangling Instruction Prefetcher
Ros, A. & Jimborean, A.
IEEE Comput. Archit. Lett.
,
Vol. 19
,
pp. 84-87
,
2020
Boosting the extraction of elementary flux modes in genome-scale metabolic networks using the linear programming approach
Guil, F.; Hidalgo, J. F. & Garcia, J. M.
Bioinform.
,
Vol. 36
,
pp. 4163-4170
,
2020
CC '20: 29th International Conference on Compiler Construction, San Diego, CA, USA, February 22-23, 2020
2020
TLB-based Block-Grain Classification of Private Data
Upadhyay, B. R.; Ros, A. & Murty, N. S.
28th Euromicro International Conference on Parallel, Distributed and Network-Based Processing, PDP 2020, Västerås, Sweden, March 11-13, 2020
,
pp. 122-130
,
2020
Speculative Enforcement of Store Atomicity
Ros, A. & Kaxiras, S.
53rd Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 2020, Athens, Greece, October 17-21, 2020
,
pp. 555-567
,
2020
Boosting Store Buffer Efficiency with Store-Prefetch Bursts
Cebrian, J. M.; Kaxiras, S. & Ros, A.
53rd Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 2020, Athens, Greece, October 17-21, 2020
,
pp. 568-580
,
2020
MosaicSim: A Lightweight, Modular Simulator for Heterogeneous Systems
Matthews, O.; Manocha, A.; Giri, D.; Orenes-Vera, M.; Tureci, E.; Sorensen, T.; Ham, T. J.; Aragón, J. L.; Carloni, L. P. & Martonosi, M.
IEEE International Symposium on Performance Analysis of Systems and Software, ISPASS 2020, Boston, MA, USA, August 23-25, 2020
,
pp. 136-148
,
2020
Clearing the Shadows: Recovering Lost Performance for Invisible Speculative Execution through HW/SW Co-Design
Tran, K.; Sakalis, C.; Själander, M.; Ros, A.; Kaxiras, S. & Jimborean, A.
PACT '20: International Conference on Parallel Architectures and Compilation Techniques, Virtual Event, GA, USA, October 3-7, 2020
,
pp. 241-254
,
2020
Regional Out-of-Order Writes in Total Store Order
Singh, S.; Jimborean, A. & Ros, A.
PACT '20: International Conference on Parallel Architectures and Compilation Techniques, Virtual Event, GA, USA, October 3-7, 2020
,
pp. 205-216
,
2020
A Simulator and Compiler Framework for Agile Hardware-Software Co-design Evaluation and Exploration
Sorensen, T.; Manocha, A.; Tureci, E.; Orenes-Vera, M.; Aragón, J. L. & Martonosi, M.
IEEE/ACM International Conference On Computer Aided Design, ICCAD 2020, San Diego, CA, USA, November 2-5, 2020
,
pp. 97:1-97:9
,
2020

Data management techniques
Bilas, A.; Carretero, J.; Cortes, T.; Garcia-Blas, J.; González-Férez, P.; Papagiannis, A.; Queralt, A.; Marozzo, F.; Saloustros, G.; Shoker, A.; Talia, D. & Trunfio, P.
pp. 85-126
,
2019
Way Combination for an Adaptive and Scalable Coherence Directory
Gil, J. R. T.; Flores, A.; Pascual, R. F.; Ros, A.; Petit, S.; Sahuquillo, J. & Acacio, M. E.
IEEE Trans. Parallel Distributed Syst.
,
Vol. 30
,
pp. 2608-2623
,
2019
A parallel simulator for multibody systems based on group equations
Cano, J.; Cuenca, J.; Giménez, D.; Saura-Sánchez, M. & Segado-Cabezos, P.
J. Supercomput.
,
Vol. 75
,
pp. 1368-1381
,
2019
A self-optimized software tool for quantifying the degree of left ventricle hyper-trabeculation
Bernabé, G.; Casanova, J. D.; Cuenca, J. & González-Carrillo, J.
J. Supercomput.
,
Vol. 75
,
pp. 1625-1640
,
2019
Efficient Data Supply for Parallel Heterogeneous Architectures
Ham, T. J.; Aragón, J. L. & Martonosi, M.
ACM Trans. Archit. Code Optim.
,
Vol. 16
,
pp. 9:1-9:23
,
2019
Comparison of Different Classifiers and the Majority Voting Rule for the Detection of Plum Fruits in Garden Conditions
Pourdarbani, R.; Sabzi, S.; Hernández-Hernández, M.; Hernández-Hernández, J. L.; Garcia-Mateos, G.; Kalantari, D. & Molina-Martinez, J. M.
Remote. Sens.
,
Vol. 11
,
pp. 2546
,
2019
InsideNet: A tool for characterizing convolutional neural networks
Muñoz-Martinez, F.; Abellán, J. L. & Acacio, M. E.
Future Gener. Comput. Syst.
,
Vol. 100
,
pp. 298-315
,
2019
GPU-based processing of Hartmann-Shack images for accurate and high-speed ocular wavefront sensing
Mompean, J.; Aragón, J. L.; Prieto, P. & Artal, P.
Future Gener. Comput. Syst.
,
Vol. 91
,
pp. 177-190
,
2019
Efficient, semantics-rich transformation and integration of large datasets
Bernabé-Diaz, J. A.; Legaz-Garcia, M. D. C.; Garcia, J. M. & Fernández-Breis, J. T.
Expert Syst. Appl.
,
Vol. 133
,
pp. 198-214
,
2019
A Character-Level Approach to the Text Normalization Problem Based on a New Causal Encoder
Bornás, A. J. & Garcia-Mateos, G.
CoRR
,
Vol. abs/1903.02642
,
2019
Leveraging OSD+ devices for implementing a high-throughput parallel file system
Piernas, J. & González-Férez, P.
Concurr. Comput. Pract. Exp.
,
Vol. 31
,
2019
Foreword to the Special Issue on Processors, Interconnects, Storage, and Caches for Exascale Systems
Acacio, M. E. & Sahuquillo, J.
Concurr. Comput. Pract. Exp.
,
Vol. 31
,
2019
IEEE/ACM International Symposium on Code Generation and Optimization, CGO 2019, Washington, DC, USA, February 16-20, 2019
2019
On the Autotuning of Task-Based Numerical Libraries for Heterogeneous Architectures
Agullo, E.; Cámara, J.; Cuenca, J. & Giménez, D.
Parallel Computing: Technology Trends, Proceedings of the International Conference on Parallel Computing, PARCO 2019, Prague, Czech Republic, September 10-13, 2019
,
Vol. 36
,
pp. 157-166
,
2019
Efficient invisible speculative execution through selective delay and value prediction
Sakalis, C.; Kaxiras, S.; Ros, A.; Jimborean, A. & Själander, M.
Proceedings of the 46th International Symposium on Computer Architecture, ISCA 2019, Phoenix, AZ, USA, June 22-26, 2019
,
pp. 723-735
,
2019
Filter caching for free: the untapped potential of the store-buffer
Alves, R.; Ros, A.; Black-Schaffer, D. & Kaxiras, S.
Proceedings of the 46th International Symposium on Computer Architecture, ISCA 2019, Phoenix, AZ, USA, June 22-26, 2019
,
pp. 436-448
,
2019
Efficient thread/page/parallelism autotuning for NUMA systems
Popov, M.; Jimborean, A. & Black-Schaffer, D.
Proceedings of the ACM International Conference on Supercomputing, ICS 2019, Phoenix, AZ, USA, June 26-28, 2019
,
pp. 342-353
,
2019
Rendering Elimination: Early Discard of Redundant Tiles in the Graphics Pipeline
Anglada, M.; de Lucas, E.; Parcerisa, J.; Aragón, J. L.; Marcuello, P. & González, A.
25th IEEE International Symposium on High Performance Computer Architecture, HPCA 2019, Washington, DC, USA, February 16-20, 2019
,
pp. 623-634
,
2019
Early Visibility Resolution for Removing Ineffectual Computations in the Graphics Pipeline
Anglada, M.; de Lucas, E.; Parcerisa, J.; Aragón, J. L. & González, A.
25th IEEE International Symposium on High Performance Computer Architecture, HPCA 2019, Washington, DC, USA, February 16-20, 2019
,
pp. 635-646
,
2019
CNN-SIM: A Detailed Arquitectural Simulator of CNN Accelerators
Muñoz-Martinez, F.; Abellán, J. L. & Acacio, M. E.
Euro-Par 2019: Parallel Processing Workshops - Euro-Par 2019 International Workshops, Göttingen, Germany, August 26-30, 2019, Revised Selected Papers
,
Vol. 11997
,
pp. 720-724
,
2019
Improving Accessibility for People with Disabilities: A Case Study on Inclusive Beach Tourism
Mayordomo-Martinez, D.; Sánchez-Aarnoutse, J. C.; Merzoukid, K.; Garcia-Hernández, M.; Carrillo-de-Gea, J. M.; Garcia-Berná, J. A.; Alemán, J. L. F.; Idri, A. & Garcia-Mateos, G.
41st Annual International Conference of the IEEE Engineering in Medicine and Biology Society, EMBC 2019, Berlin, Germany, July 23-27, 2019
,
pp. 1302-1305
,
2019
Homogeneous and heterogeneous ensemble classification methods in diabetes disease: a review
Alemán, J. L. F.; Carrillo-de-Gea, J. M.; Hosni, M.; Idri, A. & Garcia-Mateos, G.
41st Annual International Conference of the IEEE Engineering in Medicine and Biology Society, EMBC 2019, Berlin, Germany, July 23-27, 2019
,
pp. 3956-3959
,
2019
Ghost loads: what is the cost of invisible speculation?
Sakalis, C.; Alipour, M.; Ros, A.; Jimborean, A.; Kaxiras, S. & Själander, M.
Proceedings of the 16th ACM International Conference on Computing Frontiers, CF 2019, Alghero, Italy, April 30 - May 2, 2019
,
pp. 153-163
,
2019
Automatic Detection of Large Extended Data-Race-Free Regions with Conflict Isolation
Jimborean, A.; Ekemark, P.; Waern, J.; Kaxiras, S. & Ros, A.
IEEE Trans. Parallel Distributed Syst.
,
Vol. 29
,
pp. 527-541
,
2018
TokenTLB+CUP: A Token-Based Page Classification with Cooperative Usage Prediction
Esteve, A.; Ros, A.; Robles, A. & Gómez, M. E.
IEEE Trans. Parallel Distributed Syst.
,
Vol. 29
,
pp. 1188-1201
,
2018
Design of an accurate and high-speed binocular pupil tracking system based on GPGPUs
Mompean, J.; Aragón, J. L.; Prieto, P. & Artal, P.
J. Supercomput.
,
Vol. 74
,
pp. 1836-1862
,
2018
Parallel implementations of the 3D fast wavelet transform on a Raspberry Pi 2 cluster
Bernabé, G.; Hernández, R. & Acacio, M. E.
J. Supercomput.
,
Vol. 74
,
pp. 1765-1778
,
2018
Static Instruction Scheduling for High Performance on Limited Hardware
Tran, K.; Carlson, T. E.; Koukos, K.; Själander, M.; Spiliopoulos, V.; Kaxiras, S. & Jimborean, A.
IEEE Trans. Computers
,
Vol. 67
,
pp. 513-527
,
2018
On the Parallelization of Stream Compaction on a Low-Cost SDC Cluster
Bernabé, G. & Acacio, M. E.
Sci. Program.
,
Vol. 2018
,
pp. 2037272:1-2037272:10
,
2018
Non-Speculative Load Reordering in Total Store Ordering
Kaxiras, S.; Carlson, T. E.; Alipour, M. & Ros, A.
IEEE Micro
,
Vol. 38
,
pp. 48-57
,
2018
Mending Fences with Self-Invalidation and Self-Downgrade
Abdulla, P. A.; Atig, M. F.; Kaxiras, S.; Leonardsson, C.; Ros, A. & Zhu, Y.
Log. Methods Comput. Sci.
,
Vol. 14
,
2018
Photonic-based express coherence notifications for many-core CMPs
Abellán, J. L.; Padierna, E.; Ros, A. & Acacio, M. E.
J. Parallel Distributed Comput.
,
Vol. 113
,
pp. 179-194
,
2018
Computer scientists in action: Alexandra Jimborean, computer architecture
Jimborean, A.
XRDS
,
Vol. 25
,
pp. 65
,
2018
Rendering Elimination: Early Discard of Redundant Tiles in the Graphics Pipeline
Anglada, M.; de Lucas, E.; Parcerisa, J.; Aragón, J. L.; Marcuello, P. & González, A.
CoRR
,
Vol. abs/1807.09449
,
2018
A fast and accurate expert system for weed identification in potato crops using metaheuristic algorithms
Sabzi, S.; Abbaspour-Gilandeh, Y. & Garcia-Mateos, G.
Comput. Ind.
,
Vol. 98
,
pp. 80-89
,
2018
Improving the EFMs quality by augmenting their representativeness in LP methods
Hidalgo, J. F.; Egea, J. A.; Asensio, F. D. A. G. & Garcia, J. M.
BMC Syst. Biol.
,
Vol. 12
,
pp. 123-131
,
2018
Proceedings of the 3rd International Workshop on Advanced Interconnect Solutions and Technologies for Emerging Computing Systems, AISTECS 2018, Manchester, United Kingdom, January 22-22, 2018
2018
Application of High Performance Computing Techniques to the Semantic Data Transformation
Bernabé-Diaz, J. A.; Legaz-Garcia, M. D. C.; Garcia, J. M. & Fernández-Breis, J. T.
Trends and Advances in Information Systems and Technologies - Volume 1 [WorldCIST'18, Naples, Italy, March 27-29, 2018]
,
Vol. 745
,
pp. 691-700
,
2018
SWOOP: software-hardware co-design for non-speculative, execute-ahead, in-order cores
Tran, K.; Jimborean, A.; Carlson, T. E.; Koukos, K.; Själander, M. & Kaxiras, S.
Proceedings of the 39th ACM SIGPLAN Conference on Programming Language Design and Implementation, PLDI 2018, Philadelphia, PA, USA, June 18-22, 2018
,
pp. 328-343
,
2018
SAWS: Simple and Adaptive Warp Scheduling for Improved Performance in Throughput Processors
Muñoz-Martinez, F. & Acacio, M. E.
26th Euromicro International Conference on Parallel, Distributed and Network-based Processing, PDP 2018, Cambridge, United Kingdom, March 21-23, 2018
,
pp. 344-347
,
2018
The Superfluous Load Queue
Ros, A. & Kaxiras, S.
51st Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 2018, Fukuoka, Japan, October 20-24, 2018
,
pp. 95-107
,
2018
Non-Speculative Store Coalescing in Total Store Order
Ros, A. & Kaxiras, S.
45th ACM/IEEE Annual International Symposium on Computer Architecture, ISCA 2018, Los Angeles, CA, USA, June 1-6, 2018
,
pp. 221-234
,
2018
Parallelism on Hybrid Metaheuristics for Vector Autoregression Models
Castaño, A. L.; Cuenca, J.; Cutillas-Lozano, J.; Giménez, D.; López-Espin, J. & Pérez-Bernabeu, A.
2018 International Conference on High Performance Computing & Simulation, HPCS 2018, Orleans, France, July 16-20, 2018
,
pp. 828-835
,
2018
An Efficient Memory-Mapped Key-Value Store for Flash Storage
Papagiannis, A.; Saloustros, G.; González-Férez, P. & Bilas, A.
Proceedings of the ACM Symposium on Cloud Computing, SoCC 2018, Carlsbad, CA, USA, October 11-13, 2018
,
pp. 490-502
,
2018
Efficient Self-Invalidation/Self-Downgrade for Critical Sections with Relaxed Semantics
Ros, A.; Leonardsson, C.; Sakalis, C. & Kaxiras, S.
IEEE Trans. Parallel Distributed Syst.
,
Vol. 28
,
pp. 3413-3425
,
2017
TLB-Based Temporality-Aware Classification in CMPs with Multilevel TLBs
Esteve, A.; Ros, A.; Gómez, M. E.; Robles, A. & Duato, J.
IEEE Trans. Parallel Distributed Syst.
,
Vol. 28
,
pp. 2401-2413
,
2017
To be silent or not: on the impact of evictions of clean data in cache-coherent multicores
Pascual, R. F.; Ros, A. & Acacio, M. E.
J. Supercomput.
,
Vol. 73
,
pp. 4428-4443
,
2017
Decoupling Data Supply from Computation for Latency-Tolerant Communication in Heterogeneous Architectures
Ham, T. J.; Aragón, J. L. & Martonosi, M.
ACM Trans. Archit. Code Optim.
,
Vol. 14
,
pp. 16:1-16:27
,
2017
A methodology based on Deep Learning for advert value calculation in CPM, CPC and CPA networks
Pechuán, L. M.; Rosso, D.; Jiménez, F. & Garcia, J. M.
Soft Comput.
,
Vol. 21
,
pp. 651-665
,
2017
Silicon Nitride Photonic Integration Platforms for Visible, Near-Infrared and Mid-Infrared Applications
Muñoz, P.; Micó, G.; Bru, L. A.; Pastor, D.; Pérez, D.; Doménech, J. D.; Fernández, J.; Baños, R.; Gargallo, B.; Alemany, R.; Sánchez, A. M.; Cirera, J. M.; Mas, R. & Dominguez, C.
Sensors
,
Vol. 17
,
pp. 2088
,
2017
The Tag Filter Architecture: An energy-efficient cache and directory design
Valls, J. J.; Ros, A.; Gómez, M. E. & Sahuquillo, J.
J. Parallel Distributed Comput.
,
Vol. 100
,
pp. 193-202
,
2017
Multi-objective evolutionary feature selection for online sales forecasting
Jiménez, F.; Sánchez, G.; Garcia, J. M.; Sciavicco, G. & Pechuán, L. M.
Neurocomputing
,
Vol. 234
,
pp. 75-92
,
2017
Decoupled Access-Execute on ARM big.LITTLE
Weber, A.; Tran, K.; Kaxiras, S. & Jimborean, A.
CoRR
,
Vol. abs/1701.05478
,
2017
Obtaining the coefficients of a Vector Autoregression Model through minimization of parameter criteria
Castaño, A. L.; Cuenca, J.; Giménez, D.; López-Espin, J. & Pérez-Bernabeu, A.
CoRR
,
Vol. abs/1711.09369
,
2017
Guided installation of basic linear algebra routines in a cluster with manycore components
Cuenca, J.; Garcia, L.; Giménez, D. & Herrera, F.
Concurr. Comput. Pract. Exp.
,
Vol. 29
,
2017
A dedicated private-shared cache design for scalable multiprocessors
Cebrian, J. M.; Pascual, R. F.; Jimborean, A.; Acacio, M. E. & Ros, A.
Concurr. Comput. Pract. Exp.
,
Vol. 29
,
2017
Code modernization strategies to 3-D Stencil-based applications on Intel Xeon Phi: KNC and KNL
Cebrian, J. M.; Cecilia, J. M.; Hernández, M. & Garcia, J. M.
Comput. Math. Appl.
,
Vol. 74
,
pp. 2557-2571
,
2017
Transcending Hardware Limits with Software Out-of-Order Processing
Carlson, T. E.; Tran, K.; Jimborean, A.; Koukos, K.; Själander, M. & Kaxiras, S.
IEEE Comput. Archit. Lett.
,
Vol. 16
,
pp. 162-165
,
2017
Proceedings of the 2nd International Workshop on Advanced Interconnect Solutions and Technologies for Emerging Computing Systems, AISTECS@HiPEAC 2017, Stockholm, Sweden, January 25, 2017
2017
Optimizing Semantic Data Transformation Using High Performance Computing Techniques
Bernabé-Diaz, J. A.; Legaz-Garcia, M. D. C.; Carrasco, J. M. G. & Fernández-Breis, J. T.
Proceedings of the 10th International Conference on Semantic Web Applications and Tools for Health Care and Life Sciences (SWAT4LS 2017), Rome, Italy, December 4-7, 2017
,
Vol. 2042
,
2017
Vectorization Strategies for Ant Colony Optimization on Intel Architectures
Montesinos, V. & Garcia, J. M.
Parallel Computing is Everywhere, Proceedings of the International Conference on Parallel Computing, ParCo 2017, 12-15 September 2017, Bologna, Italy
,
Vol. 32
,
pp. 400-409
,
2017
Representativeness of a Set of Metabolic Pathways
Hidalgo, J. F.; Egea, J. A.; Asensio, F. D. A. G. & Garcia, J. M.
Bioinformatics and Biomedical Engineering - 5th International Work-Conference, IWBBIO 2017, Granada, Spain, April 26-28, 2017, Proceedings, Part I
,
Vol. 10208
,
pp. 659-667
,
2017
Non-Speculative Load-Load Reordering in TSO
Ros, A.; Carlson, T. E.; Alipour, M. & Kaxiras, S.
Proceedings of the 44th Annual International Symposium on Computer Architecture, ISCA 2017, Toronto, ON, Canada, June 24-28, 2017
,
pp. 187-200
,
2017
Way-combining directory: an adaptive and scalable low-cost coherence directory
Gil, J. R. T.; Flores, A.; Pascual, R. F.; Ros, A. & Acacio, M. E.
Proceedings of the International Conference on Supercomputing, ICS 2017, Chicago, IL, USA, June 14-16, 2017
,
pp. 20:1-20:10
,
2017
Exploiting Hybrid Parallelism in the Kinematic Analysis of Multibody Systems Based on Group Equations
Bernabé, G.; Cano, J.; Cuenca, J.; Flores, A.; Giménez, D.; Saura-Sánchez, M. & Segado-Cabezos, P.
International Conference on Computational Science, ICCS 2017, 12-14 June 2017, Zurich, Switzerland
,
Vol. 108
,
pp. 576-585
,
2017
Efficient Implementation of Data Objects in the OSD+-Based Fusion Parallel File System
Piernas, J. & González-Férez, P.
Euro-Par 2017: Parallel Processing Workshops - Euro-Par 2017 International Workshops, Santiago de Compostela, Spain, August 28-29, 2017, Revised Selected Papers
,
Vol. 10659
,
pp. 737-747
,
2017
Clairvoyance: look-ahead compile-time scheduling
Tran, K.; Carlson, T. E.; Koukos, K.; Själander, M.; Spiliopoulos, V.; Kaxiras, S. & Jimborean, A.
Proceedings of the 2017 International Symposium on Code Generation and Optimization, CGO 2017, Austin, TX, USA, February 4-8, 2017
,
pp. 171-184
,
2017
Automatic detection of extended data-race-free regions
Jimborean, A.; Waern, J.; Ekemark, P.; Kaxiras, S. & Ros, A.
Proceedings of the 2017 International Symposium on Code Generation and Optimization, CGO 2017, Austin, TX, USA, February 4-8, 2017
,
pp. 14-26
,
2017
A Hybrid Static-Dynamic Classification for Dual-Consistency Cache Coherence
Ros, A. & Jimborean, A.
IEEE Trans. Parallel Distributed Syst.
,
Vol. 27
,
pp. 3101-3115
,
2016
Efficient TLB-Based Detection of Private Pages in Chip Multiprocessors
Esteve, A.; Ros, A.; Gómez, M. E.; Robles, A. & Duato, J.
IEEE Trans. Parallel Distributed Syst.
,
Vol. 27
,
pp. 748-761
,
2016
Are distributed sharing codes a solution to the scalability problem of coherence directories in manycores? An evaluation study
Pascual, R. F.; Ros, A. & Acacio, M. E.
J. Supercomput.
,
Vol. 72
,
pp. 612-638
,
2016
Mitigation of NUMA and synchronization effects in high-speed network storage over raw Ethernet
González-Férez, P. & Bilas, A.
J. Supercomput.
,
Vol. 72
,
pp. 4129-4159
,
2016
Batching operations to improve the performance of a distributed metadata service
Aviles-González, A.; Piernas, J. & González-Férez, P.
J. Supercomput.
,
Vol. 72
,
pp. 654-687
,
2016
Building Heterogeneous Unified Virtual Memories (UVMs) without the Overhead
Koukos, K.; Ros, A.; Hagersten, E. & Kaxiras, S.
ACM Trans. Archit. Code Optim.
,
Vol. 13
,
pp. 1:1-1:22
,
2016
New compactly supported spatiotemporal covariance functions from SPDEs
Ruiz-Medina, M. D.; Angulo, J. M.; Christakos, G. & Pascual, R. F.
Stat. Methods Appl.
,
Vol. 25
,
pp. 125-141
,
2016
Plug-in prediction intervals for a special class of standard ARH(1) processes
Ruiz-Medina, M. D.; Romano, E. & Pascual, R. F.
J. Multivar. Anal.
,
Vol. 146
,
pp. 138-150
,
2016
Profiling-Assisted Decoupled Access-Execute
Waern, J.; Ekemark, P.; Koukos, K.; Kaxiras, S. & Jimborean, A.
CoRR
,
Vol. abs/1601.01722
,
2016
Mending Fences with Self-Invalidation and Self-Downgrade
Abdulla, P. A.; Atig, M. F.; Kaxiras, S.; Leonardsson, C.; Ros, A. & Zhu, Y.
CoRR
,
Vol. abs/1611.07372
,
2016
Dynamic load balancing on heterogeneous clusters for parallel ant colony optimization
Llanes, A.; Cecilia, J. M.; Sánchez, A. M.; Garcia, J. M.; Amos, M. & Ujaldon, M.
Clust. Comput.
,
Vol. 19
,
pp. 1-11
,
2016
Improving I/O Performance Through an In-Kernel Disk Simulator
González-Férez, P.; Piernas, J. & Cortes, T.
Comput. J.
,
Vol. 59
,
pp. 1433-1452
,
2016
Proceedings of the 1st International Workshop on Advanced Interconnect Solutions and Technologies for Emerging Computing Systems, AISTECS@HiPEAC 2016, Prague, Czech Republic, January 18, 2016
2016
Tucana: Design and Implementation of a Fast and Efficient Scale-up Key-value Store
Papagiannis, A.; Saloustros, G.; González-Férez, P. & Bilas, A.
2016 USENIX Annual Technical Conference, USENIX ATC 2016, Denver, CO, USA, June 22-24, 2016
,
pp. 537-550
,
2016
On Guided Installation of Basic Linear Algebra Routines in Nodes with Manycore Components
Garcia, L.; Cuenca, J.; Herrera, F. & Giménez, D.
Proceedings of the 7th International Workshop on Programming Models and Applications for Multicores and Manycores, PMAM@PPoPP 2016, Barcelona, Spain, March 12-16, 2016
,
pp. 114-122
,
2016
Racer: TSO consistency via race detection
Ros, A. & Kaxiras, S.
49th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 2016, Taipei, Taiwan, October 15-19, 2016
,
pp. 33:1-33:13
,
2016
Calculating Elementary Flux Modes with Variable Neighbourhood Search
Egea, J. A. & Garcia, J. M.
Bioinformatics and Biomedical Engineering - 4th International Conference, IWBBIO 2016, Granada, Spain, April 20-22, 2016, Proceedings
,
Vol. 9656
,
pp. 304-314
,
2016
Splash-3: A properly synchronized benchmark suite for contemporary research
Sakalis, C.; Leonardsson, C.; Kaxiras, S. & Ros, A.
2016 IEEE International Symposium on Performance Analysis of Systems and Software, ISPASS 2016, Uppsala, Sweden, April 17-19, 2016
,
pp. 101-111
,
2016
A Parallel Programming Course Based on an Execution Time-Energy Consumption Optimization Problem
Cuenca, J. & Giménez, D.
2016 IEEE International Parallel and Distributed Processing Symposium Workshops, IPDPS Workshops 2016, Chicago, IL, USA, May 23-27, 2016
,
pp. 996-1003
,
2016
POSTER: Efficient Self-Invalidation/Self-Downgrade for Critical Sections with Relaxed Semantics
Ros, A.; Leonardsson, C.; Sakalis, C. & Kaxiras, S.
Proceedings of the 2016 International Conference on Parallel Architectures and Compilation, PACT 2016, Haifa, Israel, September 11-15, 2016
,
pp. 433-434
,
2016
TokenTLB: A Token-Based Page Classification Approach
Esteve, A.; Ros, A.; Robles, A.; Gómez, M. E. & Duato, J.
Proceedings of the 2016 International Conference on Supercomputing, ICS 2016, Istanbul, Turkey, June 1-3, 2016
,
pp. 26:1-26:13
,
2016
A Training Engine for Automatic Quantification of Left Ventricular Trabeculation from Cardiac MRI
Bernabé, G.; Cuenca, J.; Giménez, D. & González-Carrillo, J.
International Conference on Computational Science 2016, ICCS 2016, 6-8 June 2016, San Diego, California, USA
,
Vol. 80
,
pp. 2246-2250
,
2016
MASkIt: Soft error rate estimation for combinational circuits
Anglada, M.; Canal, R.; Aragón, J. L. & González, A.
34th IEEE International Conference on Computer Design, ICCD 2016, Scottsdale, AZ, USA, October 2-5, 2016
,
pp. 614-621
,
2016
A Directory Cache with Dynamic Private-Shared Partitioning
Valls, J. J.; Gómez, M. E.; Ros, A. & Sahuquillo, J.
23rd IEEE International Conference on High Performance Computing, HiPC 2016, Hyderabad, India, December 19-22, 2016
,
pp. 382-391
,
2016
Fencing Programs with Self-Invalidation and Self-Downgrade
Abdulla, P. A.; Atig, M. F.; Kaxiras, S.; Leonardsson, C.; Ros, A. & Zhu, Y.
Formal Techniques for Distributed Objects, Components, and Systems - 36th IFIP WG 6.1 International Conference, FORTE 2016, Held as Part of the 11th International Federated Conference on Distributed Computing Techniques, DisCoTec 2016, Heraklion, Crete, Greece, June 6-9, 2016, Proceedings
,
Vol. 9688
,
pp. 19-35
,
2016
Calcification detection of abdominal aorta in CT images and 3D visualization in VR devices
Garcia-Berná, J. A.; Sanchez-Gomez, J. M.; Hermanns, J.; Garcia-Mateos, G. & Alemán, J. L. F.
38th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, EMBC 2016, Orlando, FL, USA, August 16-20, 2016
,
pp. 4157-4160
,
2016
Multiversioned decoupled access-execute: the key to energy-efficient compilation of general-purpose programs
Koukos, K.; Ekemark, P.; Zacharopoulos, G.; Spiliopoulos, V.; Kaxiras, S. & Jimborean, A.
Proceedings of the 25th International Conference on Compiler Construction, CC 2016, Barcelona, Spain, March 12-18, 2016
,
pp. 121-131
,
2016
Optimization of a Linked Cache Coherence Protocol for Scalable Manycore Coherence
Pascual, R. F.; Ros, A. & Acacio, M. E.
Architecture of Computing Systems - ARCS 2016 - 29th International Conference, Nuremberg, Germany, April 4-7, 2016, Proceedings
,
Vol. 9637
,
pp. 100-112
,
2016
Efficient Hardware-Supported Synchronization Mechanisms for Manycores
Abellán, J. L.; Fernández, J. & Acacio, M. E.
pp. 753-803
,
2015
PS-Cache: an energy-efficient cache design for chip multiprocessors
Valls, J. J.; Ros, A.; Sahuquillo, J. & Gómez, M. E.
J. Supercomput.
,
Vol. 71
,
pp. 67-86
,
2015
PS directory: a scalable multilevel directory cache for CMPs
Valls, J. J.; Ros, A.; Sahuquillo, J. & Gómez, M. E.
J. Supercomput.
,
Vol. 71
,
pp. 2847-2876
,
2015
DASC-DIR: a low-overhead coherence directory for many-core processors
Ros, A. & Acacio, M. E.
J. Supercomput.
,
Vol. 71
,
pp. 781-807
,
2015
Fast and efficient commits for Lazy-Lazy hardware transactional memory
Gaona-Ramirez, E.; Abellán, J. L. & Acacio, M. E.
J. Supercomput.
,
Vol. 71
,
pp. 4305-4326
,
2015
Adaptive Selection of Cache Indexing Bits for Removing Conflict Misses
Ros, A.; Xekalakis, P.; Cintra, M.; Acacio, M. E. & Garcia, J. M.
IEEE Trans. Computers
,
Vol. 64
,
pp. 1534-1547
,
2015
ICCI: In-Cache Coherence Information
Garcia-Guirado, A.; Pascual, R. F. & Garcia, J. M.
IEEE Trans. Computers
,
Vol. 64
,
pp. 995-1014
,
2015
The Effects of Granularity and Adaptivity on Private/Shared Classification for Coherence
Davari, M.; Ros, A.; Hagersten, E. & Kaxiras, S.
ACM Trans. Archit. Code Optim.
,
Vol. 12
,
pp. 26:1-26:21
,
2015
Auto-tuning techniques for linear algebra routines on hybrid platforms
Bernabé, G.; Cuenca, J.; Garcia, L. & Giménez, D.
J. Comput. Sci.
,
Vol. 10
,
pp. 299-310
,
2015
An Autotuning Engine for the 3D Fast Wavelet Transform on Clusters with Hybrid CPU + GPU Platforms
Bernabé, G.; Cuenca, J. & Giménez, D.
Int. J. Parallel Program.
,
Vol. 43
,
pp. 1160-1191
,
2015
Soft-error mitigation by means of decoupled transactional memory threads
Sánchez, D.; Cebrian, J. M.; Garcia, J. M. & Aragón, J. L.
Distributed Comput.
,
Vol. 28
,
pp. 75-90
,
2015
Evaluation of the 3-D finite difference implementation of the acoustic diffusion equation model on massively parallel architectures
Hernández, M.; Imbernón, B.; Navarro, J. M.; Garcia, J. M.; Cebrian, J. M. & Cecilia, J. M.
Comput. Electr. Eng.
,
Vol. 46
,
pp. 190-201
,
2015
TreeEFM: calculating elementary flux modes using linear optimization in a tree-based algorithm
Pey, J.; Villar, J. A.; Tobalina, L.; Rezola, A.; Carrasco, J. M. G.; Beasley, J. E. & Planes, F. J.
Bioinform.
,
Vol. 31
,
pp. 897-904
,
2015
GPU-Accelerated High-Speed Eye Pupil Tracking System
Mompean, J.; Aragón, J. L.; Prieto, P. & Artal, P.
27th International Symposium on Computer Architecture and High Performance Computing, SBAC-PAD 2015, Florianópolis, Brazil, October 17-21, 2015
,
pp. 17-24
,
2015
The Tag Filter Cache: An Energy-Efficient Approach
Valls, J. J.; Sahuquillo, J.; Ros, A. & Gómez, M. E.
23rd Euromicro International Conference on Parallel, Distributed, and Network-Based Processing, PDP 2015, Turku, Finland, March 4-6, 2015
,
pp. 182-189
,
2015
Evaluation of 3-D Stencil Codes on the Intel Xeon Phi Coprocessor
Hernández, M.; Cebrian, J. M.; Cecilia, J. M. & Garcia, J. M.
Parallel Computing: On the Road to Exascale, Proceedings of the International Conference on Parallel Computing, ParCo 2015, 1-4 September 2015, Edinburgh, Scotland, UK
,
Vol. 27
,
pp. 197-206
,
2015
Reducing CPU and network overhead for small I/O requests in network storage protocols over raw Ethernet
González-Férez, P. & Bilas, A.
IEEE 31st Symposium on Mass Storage Systems and Technologies, MSST 2015, Santa Clara, CA, USA, May 30 - June 5, 2015
,
pp. 1-12
,
2015
DeSC: decoupled supply-compute communication management for heterogeneous architectures
Ham, T. J.; Aragón, J. L. & Martonosi, M.
Proceedings of the 48th International Symposium on Microarchitecture, MICRO 2015, Waikiki, HI, USA, December 5-9, 2015
,
pp. 191-203
,
2015
A New Approach to Obtain EFMs Using Graph Methods Based on the Shortest Path between End Nodes
Céspedes, J. F. H.; Asensio, F. D. A. G. & Carrasco, J. M. G.
Bioinformatics and Biomedical Engineering - Third International Conference, IWBBIO 2015, Granada, Spain, April 15-17, 2015. Proceedings, Part I
,
Vol. 9043
,
pp. 641-649
,
2015
Callback: efficient synchronization without invalidation with a directory just for spin-waiting
Ros, A. & Kaxiras, S.
Proceedings of the 42nd Annual International Symposium on Computer Architecture, Portland, OR, USA, June 13-17, 2015
,
pp. 427-438
,
2015
A Dual-Consistency Cache Coherence Protocol
Ros, A. & Jimborean, A.
2015 IEEE International Parallel and Distributed Processing Symposium, IPDPS 2015, Hyderabad, India, May 25-29, 2015
,
pp. 1119-1128
,
2015
An Efficient, Self-Contained, On-chip Directory: DIR1-SISD
Davari, M.; Ros, A.; Hagersten, E. & Kaxiras, S.
2015 International Conference on Parallel Architectures and Compilation, PACT 2015, San Francisco, CA, USA, October 18-21, 2015
,
pp. 317-330
,
2015
A Software Tool for the Automatic Quantification of the Left Ventricle Myocardium Hyper-trabeculation Degree
Bernabé, G.; Cuenca, J.; López-de-Teruel, P. E.; Giménez, D. & González-Carrillo, J.
Proceedings of the International Conference on Computational Science, ICCS 2015, Computational Science at the Gates of Nature, Reykjavik, Iceland, 1-3 June, 2015, 2014
,
Vol. 51
,
pp. 610-619
,
2015
Turning Centralized Coherence and Distributed Critical-Section Execution on their Head: A New Approach for Scalable Distributed Shared Memory
Kaxiras, S.; Klaftenegger, D.; Norgren, M.; Ros, A. & Sagonas, K.
Proceedings of the 24th International Symposium on High-Performance Parallel and Distributed Computing, HPDC 2015, Portland, OR, USA, June 15-19, 2015
,
pp. 3-14
,
2015
Hierarchical private/shared classification: The key to simple and efficient coherence for clustered cache hierarchies
Ros, A.; Davari, M. & Kaxiras, S.
21st IEEE International Symposium on High Performance Computer Architecture, HPCA 2015, Burlingame, CA, USA, February 7-11, 2015
,
pp. 186-197
,
2015
Fast&Furious: A Tool for Detecting Covert Racing
Ros, A. & Kaxiras, S.
Proceedings of the 6th Workshop on Parallel Programming and Run-Time Management Techniques for Many-core Architectures and the 4th Workshop on Design Tools and Architectures for Multicore Embedded Computing Platforms, PARMA-DITAM 2015, Amsterdam, Netherlands, January 21, 2015
,
pp. 1-6
,
2015
Early Experiences with Separate Caches for Private and Shared Data
Cebrian, J. M.; Ros, A.; Pascual, R. F. & Acacio, M. E.
11th IEEE International Conference on e-Science, e-Science 2015, Munich, Germany, August 31 - September 4, 2015
,
pp. 572-579
,
2015
Follow-me: A new start-and-stop method for visual animal tracking in biology research
Linares-Sanchez, L. J.; Alemán, J. L. F.; Garcia-Mateos, G.; Perez-Ruzafa, A. & Sanchez-Vazquez, F. J.
37th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, EMBC 2015, Milan, Italy, August 25-29, 2015
,
pp. 755-758
,
2015
Technical solutions for mitigating security threats caused by health professionals in clinical settings
Alemán, J. L. F.; Garcia, A. B. S.; Garcia-Mateos, G. & Toval, A.
37th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, EMBC 2015, Milan, Italy, August 25-29, 2015
,
pp. 1389-1392
,
2015
ZEBRA: Data-Centric Contention Management in Hardware Transactional Memory
Gil, J. R. T.; Negi, A.; Acacio, M. E.; Garcia, J. M. & Stenström, P.
IEEE Trans. Parallel Distributed Syst.
,
Vol. 25
,
pp. 1359-1369
,
2014
Comparative evaluation of platforms for parallel Ant Colony Optimization
Guerrero, G. D.; Cecilia, J. M.; Llanes, A.; Garcia, J. M.; Amos, M. & Ujaldon, M.
J. Supercomput.
,
Vol. 69
,
pp. 318-329
,
2014
Selective dynamic serialization for reducing energy consumption in hardware transactional memory systems
Gaona-Ramirez, E.; Gil, J. R. T.; Fernández, J. & Acacio, M. E.
J. Supercomput.
,
Vol. 68
,
pp. 914-934
,
2014
Evaluating the SAT problem on P systems for different high-performance architectures
Cecilia, J. M.; Garcia, J. M.; Guerrero, G. D. & Ujaldon, M.
J. Supercomput.
,
Vol. 69
,
pp. 248-272
,
2014
Managing power constraints in a single-core scenario through power tokens
Cebrian, J. M.; Sánchez, D.; Aragón, J. L. & Kaxiras, S.
J. Supercomput.
,
Vol. 68
,
pp. 414-442
,
2014
Improving an autotuning engine for 3D Fast Wavelet Transform on manycore systems
Bernabé, G.; Cuenca, J.; Garcia, L. & Giménez, D.
J. Supercomput.
,
Vol. 70
,
pp. 830-844
,
2014
Bringing Networks together to Improve Advertising Performance
Pechuán, L. M. & Carrasco, J. M. G.
Res. Comput. Sci.
,
Vol. 86
,
pp. 63-75
,
2014
Auto-tuned nested parallelism: A way to reduce the execution time of scientific software in NUMA systems
Cámara, J.; Cuenca, J.; Garcia, L. & Giménez, D.
Parallel Comput.
,
Vol. 40
,
pp. 309-327
,
2014
A general framework for dynamic and automatic I/O scheduling in hard and solid-state drives
González-Férez, P.; Piernas, J. & Cortes, T.
J. Parallel Distributed Comput.
,
Vol. 74
,
pp. 2380-2391
,
2014
Dynamic and Speculative Polyhedral Parallelization Using Compiler-Generated Skeletons
Jimborean, A.; Clauss, P.; Dollinger, J.; Loechner, V. & Caamaño, J. M. M.
Int. J. Parallel Program.
,
Vol. 42
,
pp. 529-545
,
2014
Empirical Installation of Linear Algebra Shared-Memory Subroutines for Auto-Tuning
Cámara, J.; Cuenca, J.; Giménez, D.; Garcia, L. & Vidal, A. M.
Int. J. Parallel Program.
,
Vol. 42
,
pp. 408-434
,
2014
Scalable Metadata Management Through OSD+ Devices
Aviles-González, A.; Piernas, J. & González-Férez, P.
Int. J. Parallel Program.
,
Vol. 42
,
pp. 4-29
,
2014
Accelerating collision detection for large-scale crowd simulation on multi-core and many-core architectures
Vigueras, G.; Orduña, J. M.; Lozano, M.; Cecilia, J. M. & Garcia, J. M.
Int. J. High Perform. Comput. Appl.
,
Vol. 28
,
pp. 33-49
,
2014
A performance/cost model for a CUDA drug discovery application on physical and public cloud infrastructures
Guerrero, G. D.; Wallace, R. M.; Vázquez-Poletti, J. L.; Cecilia, J. M.; Garcia, J. M.; Mozos, D. & Sánchez, H. E. P.
Concurr. Comput. Pract. Exp.
,
Vol. 26
,
pp. 1787-1798
,
2014
Toward energy efficiency in heterogeneous processors: findings on virtual screening methods
Guerrero, G. D.; Cebrian, J. M.; Sánchez, H. E. P.; Garcia, J. M.; Ujaldon, M. & Cecilia, J. M.
Concurr. Comput. Pract. Exp.
,
Vol. 26
,
pp. 1832-1846
,
2014
Managing resources dynamically in hybrid photonic-electronic networks-on-chip
Garcia-Guirado, A.; Pascual, R. F.; Garcia, J. M. & Bartolini, S.
Concurr. Comput. Pract. Exp.
,
Vol. 26
,
pp. 2530-2550
,
2014
Exploiting silicon photonics for energy-efficient heterogeneous parallel architectures
Bartolini, S. & Garcia, J. M.
Concurr. Comput. Pract. Exp.
,
Vol. 26
,
pp. 2489-2491
,
2014
Software-controlled processor stalls for time and energy efficient data locality optimization
Clauss, P.; Fassi, I. & Jimborean, A.
XIVth International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, SAMOS 2014, Agios Konstantinos, Samos, Greece, July 14-17, 2014
,
pp. 199-206
,
2014
Speculative Program Parallelization with Scalable and Decentralized Runtime Verification
Sukumaran-Rajam, A.; Caamaño, J. M. M.; Wolff, W.; Jimborean, A. & Clauss, P.
Runtime Verification - 5th International Conference, RV 2014, Toronto, ON, Canada, September 22-25, 2014. Proceedings
,
Vol. 8734
,
pp. 124-139
,
2014
Tyche: An efficient Ethernet-based protocol for converged networked storage
González-Férez, P. & Bilas, A.
IEEE 30th Symposium on Mass Storage Systems and Technologies, MSST 2014, Santa Clara, CA, USA, June 2-6, 2014
,
pp. 1-11
,
2014
A High Performance Computing Course Guided by the LU Factorization
Bernabé, G.; Cuenca, J.; Garcia, L.; Giménez, D. & Rivas-Gomez, S.
Proceedings of the International Conference on Computational Science, ICCS 2014, Cairns, Queensland, Australia, 10-12 June, 2014
,
Vol. 29
,
pp. 1446-1457
,
2014
Tuning basic Linear Algebra Routines for Hybrid CPU+GPU Platforms
Bernabé, G.; Cuenca, J.; Garcia, L. & Giménez, D.
Proceedings of the International Conference on Computational Science, ICCS 2014, Cairns, Queensland, Australia, 10-12 June, 2014
,
Vol. 29
,
pp. 30-39
,
2014
Characterization of a List-Based Directory Cache Coherence Protocol for Manycore CMPs
Pascual, R. F.; Ros, A. & Acacio, M. E.
Euro-Par 2014: Parallel Processing Workshops - Euro-Par 2014 International Workshops, Porto, Portugal, August 25-26, 2014, Revised Selected Papers, Part II
,
Vol. 8806
,
pp. 254-265
,
2014
Fix the code. Don't tweak the hardware: A new compiler approach to Voltage-Frequency scaling
Jimborean, A.; Koukos, K.; Spiliopoulos, V.; Black-Schaffer, D. & Kaxiras, S.
12th Annual IEEE/ACM International Symposium on Code Generation and Optimization, CGO 2014, Orlando, FL, USA, February 15-19, 2014
,
pp. 262
,
2014
Eager Beats Lazy: Improving Store Management in Eager Hardware Transactional Memory
Gil, J. R. T.; Negi, A.; Acacio, M. E.; Garcia, J. M. & Stenström, P.
IEEE Trans. Parallel Distributed Syst.
,
Vol. 24
,
pp. 2192-2201
,
2013
Efficient Eager Management of Conflicts for Scalable Hardware Transactional Memory
Gil, J. R. T.; Acacio, M. E. & Garcia, J. M.
IEEE Trans. Parallel Distributed Syst.
,
Vol. 24
,
pp. 59-71
,
2013
Enhancing GPU parallelism in nature-inspired algorithms
Cecilia, J. M.; Nisbet, A.; Amos, M.; Garcia, J. M. & Ujaldon, M.
J. Supercomput.
,
Vol. 63
,
pp. 773-789
,
2013
Increasing the Effectiveness of Directory Caches by Avoiding the Tracking of Noncoherent Memory Blocks
Cuesta, B.; Ros, A.; Gómez, M. E.; Robles, A. & Duato, J.
IEEE Trans. Computers
,
Vol. 62
,
pp. 482-495
,
2013
Modeling the impact of permanent faults in caches
Sánchez, D.; Sazeides, Y.; Cebrian, J. M.; Garcia, J. M. & Aragón, J. L.
ACM Trans. Archit. Code Optim.
,
Vol. 10
,
pp. 29:1-29:23
,
2013
Adaptive Neuromorphic Architecture (ANA)
Wang, F. Z.; Chua, L. O.; Yang, X.; Helian, N.; Tetzlaff, R.; Schmidt, T.; Li, C.; Carrasco, J. M. G.; Chen, W. & Chu, D. F.
Neural Networks
,
Vol. 45
,
pp. 111-116
,
2013
Enhancing data parallelism for Ant Colony Optimization on GPUs
Cecilia, J. M.; Garcia, J. M.; Nisbet, A.; Amos, M. & Ujaldon, M.
J. Parallel Distributed Comput.
,
Vol. 73
,
pp. 42-51
,
2013
Design of an efficient communication infrastructure for highly contended locks in many-core CMPs
Abellán, J. L.; Fernández, J. & Acacio, M. E.
J. Parallel Distributed Comput.
,
Vol. 73
,
pp. 972-985
,
2013
Accelerated Conformational Entropy Calculations Using Graphic Processing Units
Zhang, Q.; Wang, J.; Guerrero, G. D.; Cecilia, J. M.; Garcia, J. M.; Li, Y.; Sánchez, H. E. P. & Hou, T.
J. Chem. Inf. Model.
,
Vol. 53
,
pp. 2057-2064
,
2013
On the design of energy-efficient hardware transactional memory systems
Gaona-Ramirez, E.; Gil, J. R. T.; Fernández, J. & Acacio, M. E.
Concurr. Comput. Pract. Exp.
,
Vol. 25
,
pp. 862-880
,
2013
Efficient inter-core power and thermal balancing for multicore processors
Cebrian, J. M.; Sánchez, D.; Aragón, J. L. & Kaxiras, S.
Computing
,
Vol. 95
,
pp. 537-566
,
2013
Optimizing a 3D-FWT Code in a Heterogeneous Cluster of Multicore CPUs and Manycore GPUs
Bernabé, G.; Cuenca, J. & Giménez, D.
25th International Symposium on Computer Architecture and High Performance Computing, SBAC-PAD 2013, Porto de Galinhas, Pernambuco, Brazil, October 23-26, 2013
,
pp. 97-104
,
2013
ECONO: Express coherence notifications for efficient cache coherency in many-core CMPs
Abellán, J. L.; Ros, A.; Peinador, J. F. & Acacio, M. E.
2013 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, SAMOS 2013, Agios Konstantinos, Samos Island, Greece, July 15-18, 2013
,
pp. 237-244
,
2013
Scalable Huge Directories through OSD+ Devices
Aviles-González, A.; Piernas, J. & González-Férez, P.
21st Euromicro International Conference on Parallel, Distributed, and Network-Based Processing, PDP 2013, Belfast, United Kingdom, February 27 - March 1, 2013
,
pp. 1-8
,
2013
A GPU based Conformational Entropy Calculation Method
Zhang, Q.; Garcia, J. M.; Wang, J.; Hou, T. & Sánchez, H. E. P.
International Work-Conference on Bioinformatics and Biomedical Engineering, IWBBIO 2013, Granada, Spain, March 18-20, 2013. Proceedings
,
pp. 735-743
,
2013
Impact of implicit solvation models on database enrichment in GPU based blind Virtual Screening
Guerrero, G. D.; Cecilia, J. M.; Garcia, J. M. & Sánchez, H. E. P.
International Work-Conference on Bioinformatics and Biomedical Engineering, IWBBIO 2013, Granada, Spain, March 18-20, 2013. Proceedings
,
pp. 731-734
,
2013
A new perspective for efficient virtual-cache coherence
Kaxiras, S. & Ros, A.
The 40th Annual International Symposium on Computer Architecture, ISCA'13, Tel-Aviv, Israel, June 23-27, 2013
,
pp. 535-546
,
2013
Improving drug discovery using a neural networks based parallel scoring function
Sánchez, H. E. P.; Guerrero, G. D.; Garcia, J. M.; Peña-Garcia, J.; Cecilia, J. M.; Cano, G.; Orts-Escolano, S. & Rodriguez, J. G.
The 2013 International Joint Conference on Neural Networks, IJCNN 2013, Dallas, TX, USA, August 4-9, 2013
,
pp. 1-5
,
2013
PS-cache: An energy-efficient cache design for chip multiprocessors
Valls, J. J.; Ros, A.; Sahuquillo, J. & Gómez, M. E.
Proceedings of the 22nd International Conference on Parallel Architectures and Compilation Techniques, Edinburgh, United Kingdom, September 7-11, 2013
,
pp. 407
,
2013
Temporal-Aware Mechanism to Detect Private Data in Chip Multiprocessors
Ros, A.; Cuesta, B.; Gómez, M. E.; Robles, A. & Duato, J.
42nd International Conference on Parallel Processing, ICPP 2013, Lyon, France, October 1-4, 2013
,
pp. 562-571
,
2013
Dynamic and Speculative Polyhedral Parallelization of Loop Nests Using Binary Code Patterns
Jimborean, A.; Clauss, P.; Dollinger, J.; Loechner, V. & Caamaño, J. M. M.
Proceedings of the International Conference on Computational Science, ICCS 2013, Barcelona, Spain, 5-7 June, 2013
,
Vol. 18
,
pp. 2575-2578
,
2013
Empirical Modelling of Linear Algebra Shared-Memory Routines
Cámara, J.; Cuenca, J.; Garcia, L. & Giménez, D.
Proceedings of the International Conference on Computational Science, ICCS 2013, Barcelona, Spain, 5-7 June, 2013
,
Vol. 18
,
pp. 110-119
,
2013
Optimization Techniques for 3D-FWT on Systems with Manycore GPUs and Multicore CPUs
Bernabé, G.; Cuenca, J. & Giménez, D.
Proceedings of the International Conference on Computational Science, ICCS 2013, Barcelona, Spain, 5-7 June, 2013
,
Vol. 18
,
pp. 319-328
,
2013
Efficient Dir0B Cache Coherency for Many-Core CMPs
Abellán, J. L.; Ros, A.; Fernández, J. & Acacio, M. E.
Proceedings of the International Conference on Computational Science, ICCS 2013, Barcelona, Spain, 5-7 June, 2013
,
Vol. 18
,
pp. 2545-2548
,
2013
Towards Efficient Dynamic LLC Home Bank Mapping with NoC-Level Support
Lodde, M.; Flich, J. & Acacio, M. E.
Euro-Par 2013 Parallel Processing - 19th International Conference, Aachen, Germany, August 26-30, 2013. Proceedings
,
Vol. 8097
,
pp. 178-190
,
2013
Online Dynamic Dependence Analysis for Speculative Polyhedral Parallelization
Jimborean, A.; Clauss, P.; Caamaño, J. M. M. & Sukumaran-Rajam, A.
Euro-Par 2013 Parallel Processing - 19th International Conference, Aachen, Germany, August 26-30, 2013. Proceedings
,
Vol. 8097
,
pp. 191-202
,
2013
Deploying Hardware Locks to Improve Performance and Energy Efficiency of Hardware Transactional Memory
Gaona-Ramirez, E.; Abellán, J. L.; Acacio, M. E. & Fernández, J.
Architecture of Computing Systems - ARCS 2013 - 26th International Conference, Prague, Czech Republic, February 19-22, 2013. Proceedings
,
Vol. 7767
,
pp. 220-231
,
2013
Efficient Hardware Barrier Synchronization in Many-Core CMPs
Abellán, J. L.; Fernández, J. & Acacio, M. E.
IEEE Trans. Parallel Distributed Syst.
,
Vol. 23
,
pp. 1453-1466
,
2012
A fault-tolerant architecture for parallel applications in tiled-CMPs
Sánchez, D.; Aragón, J. L. & Garcia, J. M.
J. Supercomput.
,
Vol. 61
,
pp. 997-1023
,
2012
Stencil computations on heterogeneous platforms for the Jacobi method: GPUs versus Cell BE
Cecilia, J. M.; Abellán, J. L.; Fernández, J.; Acacio, M. E.; Garcia, J. M. & Ujaldon, M.
J. Supercomput.
,
Vol. 62
,
pp. 787-803
,
2012
A framework for the application of metaheuristics to tasks-to-processors assignation problems
Almeida, F.; Cuenca, J.; Giménez, D.; Llanes-Castro, A. & Martinez-Gallar, J.
J. Supercomput.
,
Vol. 62
,
pp. 698-723
,
2012
Extending Magny-Cours Cache Coherence
Ros, A.; Saez, B. C.; Pascual, R. F.; Gómez, M. E.; Acacio, M. E.; Robles, A.; Garcia, J. M. & Duato, J.
IEEE Trans. Computers
,
Vol. 61
,
pp. 593-606
,
2012
Hardware transactional memory with software-defined conflicts
Gil, J. R. T.; Acacio, M. E.; Garcia, J. M.; Harris, T.; Cristal, A.; Unsal, O. S.; Hur, I. & Valero, M.
ACM Trans. Archit. Code Optim.
,
Vol. 8
,
pp. 31:1-31:20
,
2012
DAPSCO: Distance-aware partially shared cache organization
Garcia-Guirado, A.; Pascual, R. F.; Ros, A. & Garcia, J. M.
ACM Trans. Archit. Code Optim.
,
Vol. 8
,
pp. 25:1-25:19
,
2012
The GPU on the simulation of cellular computing models
Cecilia, J. M.; Garcia, J. M.; Guerrero, G. D.; Martinez-del-Amor, M. A.; Pérez-Jiménez, M. J. & Ujaldon, M.
Soft Comput.
,
Vol. 16
,
pp. 231-246
,
2012
The 2D wavelet transform on emerging architectures: GPUs and multicores
Franco, J.; Bernabé, G.; Fernández, J. & Ujaldon, M.
J. Real Time Image Process.
,
Vol. 7
,
pp. 145-152
,
2012
High-Throughput parallel blind Virtual Screening using BINDSURF
Sánchez-Linares, I.; Sánchez, H. E. P.; Cecilia, J. M. & Garcia, J. M.
BMC Bioinform.
,
Vol. 13
,
pp. S13
,
2012
Adapting the polytope model for dynamic and speculative parallelization. (Adaptation du modèle polyhédrique à la parallélisation dynamique et spéculatice)
Jimborean, A.
University of Strasbourg, France
,
2012
Efficient, snoopless, System-on-Chip coherence
Kaxiras, S. & Ros, A.
IEEE 25th International SOC Conference, SOCC 2012, Niagara Falls, NY, USA, September 12-14, 2012
,
pp. 230-235
,
2012
Using Heterogeneous Networks to Improve Energy Efficiency in Direct Coherence Protocols for Many-Core CMPs
Ros, A.; Pascual, R. F. & Acacio, M. E.
IEEE 24th International Symposium on Computer Architecture and High Performance Computing, SBAC-PAD 2012, New York, NY, USA, October 24-26, 2012
,
pp. 43-50
,
2012
DADS: dynamic and automatic disk scheduling
González-Férez, P.; Piernas, J. & Cortes, T.
Proceedings of the ACM Symposium on Applied Computing, SAC 2012, Riva, Trento, Italy, March 26-30, 2012
,
pp. 1759-1764
,
2012
Adapting the polyhedral model as a framework for efficient speculative parallelization
Jimborean, A.; Clauss, P.; Pradelle, B.; Mastrangelo, L. & Loechner, V.
Proceedings of the 17th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, PPOPP 2012, New Orleans, LA, USA, February 25-29, 2012
,
pp. 295-296
,
2012
Accelerating Fibre Orientation Estimation from Diffusion Weighted Magnetic Resonance Imaging Using GPUs
Hernández, M.; Guerrero, G. D.; Cecilia, J. M.; Garcia, J. M.; Inuggi, A. & Sotiropoulos, S. N.
Proceedings of the 20th Euromicro International Conference on Parallel, Distributed and Network-Based Processing, PDP 2012, Munich, Germany, February 15-17, 2012
,
pp. 622-626
,
2012
Parallelization of Virtual Screening in Drug Discovery on Massively Parallel Architectures
Guerrero, G. D.; Sánchez, H. E. P.; Cecilia, J. M. & Garcia, J. M.
Proceedings of the 20th Euromicro International Conference on Parallel, Distributed and Network-Based Processing, PDP 2012, Munich, Germany, February 15-17, 2012
,
pp. 588-595
,
2012
Dynamic Serialization: Improving Energy Consumption in Eager-Eager Hardware Transactional Memory Systems
Gaona-Ramirez, E.; Gil, J. R. T.; Acacio, M. E. & Fernández, J.
Proceedings of the 20th Euromicro International Conference on Parallel, Distributed and Network-Based Processing, PDP 2012, Munich, Germany, February 15-17, 2012
,
pp. 221-228
,
2012
Improving Linear Algebra Computation on NUMA Platforms through Auto-tuned Nested Parallelism
Cuenca, J.; Garcia, L. & Giménez, D.
Proceedings of the 20th Euromicro International Conference on Parallel, Distributed and Network-Based Processing, PDP 2012, Munich, Germany, February 15-17, 2012
,
pp. 66-73
,
2012
Heterogeneous NoC Design for Efficient Broadcast-based Coherence Protocol Support
Lodde, M.; Flich, J. & Acacio, M. E.
2012 Sixth IEEE/ACM International Symposium on Networks-on-Chip (NoCS), Copenhagen, Denmark, 9-11 May, 2012
,
pp. 59-66
,
2012
Cache Miss Characterization in Hierarchical Large-Scale Cache-Coherent Systems
Ros, A.; Cuesta, B.; Gómez, M. E.; Robles, A. & Duato, J.
10th IEEE International Symposium on Parallel and Distributed Processing with Applications, ISPA 2012, Leganes, Madrid, Spain, July 10-13, 2012
,
pp. 691-696
,
2012
Empirical Autotuning of Two-level Parallel Linear Algebra Routines on Large cc-NUMA Systems
Cámara, J.; Cuenca, J.; Giménez, D. & Vidal, A. M.
10th IEEE International Symposium on Parallel and Distributed Processing with Applications, ISPA 2012, Leganes, Madrid, Spain, July 10-13, 2012
,
pp. 843-844
,
2012
ASCIB: adaptive selection of cache indexing bits for removing conflict misses
Ros, A.; Xekalakis, P.; Cintra, M.; Acacio, M. E. & Garcia, J. M.
International Symposium on Low Power Electronics and Design, ISLPED'12, Redondo Beach, CA, USA - July 30 - August 01, 2012
,
pp. 51-56
,
2012
Energy Efficiency Analysis of GPUs
Cebrian, J. M.; Guerrero, G. D. & Garcia, J. M.
26th IEEE International Parallel and Distributed Processing Symposium Workshops & PhD Forum, IPDPS 2012, Shanghai, China, May 21-25, 2012
,
pp. 1014-1022
,
2012
The Spanish Parallel Programming Contests and its Use as an Educational Resource
Almeida, F.; Cuenca, J.; Pascual, R. F.; Giménez, D. & Benito, J. A. P.
26th IEEE International Parallel and Distributed Processing Symposium Workshops & PhD Forum, IPDPS 2012, Shanghai, China, May 21-25, 2012
,
pp. 1303-1306
,
2012
An Experience of Early Initiation to Parallelism in the Computing Engineering Degree at the University of Murcia, Spain
Acacio, M. E.; Cuenca, J.; Maimó, L. F.; Pascual, R. F.; Cervera, J.; Giménez, D.; Garrido, M. C.; Sánchez-Laguna, J. A.; Guillén, J.; Benito, J. A. P. & Requena, M.
26th IEEE International Parallel and Distributed Processing Symposium Workshops & PhD Forum, IPDPS 2012, Shanghai, China, May 21-25, 2012
,
pp. 1289-1294
,
2012
PS-Dir: a scalable two-level directory cache
Valls, J. J.; Ros, A.; Sahuquillo, J.; Gómez, M. E. & Duato, J.
International Conference on Parallel Architectures and Compilation Techniques, PACT '12, Minneapolis, MN, USA - September 19 - 23, 2012
,
pp. 451-452
,
2012
Complexity-effective multicore coherence
Ros, A. & Kaxiras, S.
International Conference on Parallel Architectures and Compilation Techniques, PACT '12, Minneapolis, MN, USA - September 19 - 23, 2012
,
pp. 241-252
,
2012
Articulated Particle Filter for hand tracking
Ros, G.; del Rincón, J. M. & Garcia-Mateos, G.
Proceedings of the 21st International Conference on Pattern Recognition, ICPR 2012, Tsukuba, Japan, November 11-15, 2012
,
pp. 3581-3585
,
2012
(pi)-TM: Pessimistic invalidation for scalable lazy hardware transactional memory
Negi, A.; Gil, J. R. T.; Acacio, M. E.; Garcia, J. M. & Stenström, P.
18th IEEE International Symposium on High Performance Computer Architecture, HPCA 2012, New Orleans, LA, USA, 25-29 February, 2012
,
pp. 141-152
,
2012
Dynamic Last-Level Cache Allocation to Reduce Area and Power Overhead in Directory Coherence Protocols
Lodde, M.; Flich, J. & Acacio, M. E.
Euro-Par 2012 Parallel Processing - 18th International Conference, Euro-Par 2012, Rhodes Island, Greece, August 27-31, 2012. Proceedings
,
Vol. 7484
,
pp. 206-218
,
2012
Design of a collective communication infrastructure for barrier synchronization in cluster-based nanoscale MPSoCs
Abellán, J. L.; Peinador, J. F.; Acacio, M. E.; Bertozzi, D.; Bortolotti, D.; Marongiu, A. & Benini, L.
2012 Design, Automation & Test in Europe Conference & Exhibition, DATE 2012, Dresden, Germany, March 12-16, 2012
,
pp. 491-496
,
2012
VMAD: An Advanced Dynamic Program Analysis and Instrumentation Framework
Jimborean, A.; Mastrangelo, L.; Loechner, V. & Clauss, P.
Compiler Construction - 21st International Conference, CC 2012, Held as Part of the European Joint Conferences on Theory and Practice of Software, ETAPS 2012, Tallinn, Estonia, March 24 - April 1, 2012. Proceedings
,
Vol. 7210
,
pp. 220-239
,
2012
Leakage-efficient design of value predictors through state and non-state preserving techniques
Cebrian, J. M.; Aragón, J. L.; Garcia, J. M. & Kaxiras, S.
J. Supercomput.
,
Vol. 55
,
pp. 28-50
,
2011
Parallelization Strategies for Ant Colony Optimisation on GPUs
Cecilia, J. M.; Garcia, J. M.; Ujaldon, M.; Nisbet, A. & Amos, M.
CoRR
,
Vol. abs/1101.2678
,
2011
A Metadata Cluster Based on OSD+ Devices
Aviles-González, A.; Piernas, J. & González-Férez, P.
23rd International Symposium on Computer Architecture and High Performance Computing, SBAC-PAD 2011, Vitória, Espirito Santo, Brazil, October 26-29, 2011
,
pp. 64-71
,
2011
Accelerating Grid Kernels for Virtual Screening on Graphics Processing Units
Sánchez-Linares, I.; Sánchez, H. E. P. & Garcia, J. M.
Applications, Tools and Techniques on the Road to Exascale Computing, Proceedings of the conference ParCo 2011, 31 August - 3 September 2011, Ghent, Belgium
,
Vol. 22
,
pp. 413-420
,
2011
Effective Parallelization of Non-bonded Interactions Kernel for Virtual Screening on GPUs
Guerrero, G. D.; Sánchez, H. E. P.; Wenzel, W.; Cecilia, J. M. & Garcia, J. M.
5th International Conference on Practical Applications of Computational Biology & Bioinformatics, PACBB 2011, April 6-8, 2011, Salamanca, Spain
,
Vol. 93
,
pp. 63-69
,
2011
A Pipeline Pilot based SOAP implementation of FlexScreen for High-Throughput Virtual Screening
Sánchez, H. E. P.; Kondov, I.; Garcia, J. M.; Klenin, K. V. & Wenzel, W.
Proceedings of the 3rd International Workshop on Science Gateways for Life Sciences, London, United Kingdom, June 8-10, 2011
,
Vol. 819
,
2011
VMAD: A virtual machine for advanced dynamic analysis of programs
Jimborean, A.; Herrmann, M.; Loechner, V. & Clauss, P.
IEEE International Symposium on Performance Analysis of Systems and Software, ISPASS 2011, 10-12 April, 2011, Austin, TX, USA
,
pp. 125-126
,
2011
Increasing the effectiveness of directory caches by deactivating coherence for private memory blocks
Cuesta, B.; Ros, A.; Gómez, M. E.; Robles, A. & Duato, J.
38th International Symposium on Computer Architecture (ISCA 2011), June 4-8, 2011, San Jose, CA, USA
,
pp. 93-104
,
2011
The Impact of Non-coherent Buffers on Lazy Hardware Transactional Memory Systems
Negi, A.; Gil, J. R. T.; Acacio, M. E.; Garcia, J. M. & Stenström, P.
25th IEEE International Symposium on Parallel and Distributed Processing, IPDPS 2011, Anchorage, Alaska, USA, 16-20 May 2011 - Workshop Proceedings
,
pp. 700-707
,
2011
Parallelization Strategies for Ant Colony Optimisation on GPUs
Cecilia, J. M.; Garcia, J. M.; Ujaldon, M.; Nisbet, A. & Amos, M.
25th IEEE International Symposium on Parallel and Distributed Processing, IPDPS 2011, Anchorage, Alaska, USA, 16-20 May 2011 - Workshop Proceedings
,
pp. 339-346
,
2011
Power Token Balancing: Adapting CMPs to Power Constraints for Parallel Multithreaded Workloads
Cebrian, J. M.; Aragón, J. L. & Kaxiras, S.
25th IEEE International Symposium on Parallel and Distributed Processing, IPDPS 2011, Anchorage, Alaska, USA, 16-20 May, 2011 - Conference Proceedings
,
pp. 431-442
,
2011
GLocks: Efficient Support for Highly-Contended Locks in Many-Core CMPs
Abellán, J. L.; Fernández, J. & Acacio, M. E.
25th IEEE International Symposium on Parallel and Distributed Processing, IPDPS 2011, Anchorage, Alaska, USA, 16-20 May, 2011 - Conference Proceedings
,
pp. 893-905
,
2011
An analytical model for the calculation of the Expected Miss Ratio in faulty caches
Sánchez, D.; Sazeides, Y.; Aragón, J. L. & Garcia, J. M.
17th IEEE International On-Line Testing Symposium (IOLTS 2011), 13-15 July, 2011, Athens, Greece
,
pp. 252-257
,
2011
Pi-TM: Pessimistic Invalidation for Scalable Lazy Hardware Transactional Memory
Negi, A.; Stenström, P.; Gil, J. R. T.; Acacio, M. E. & Garcia, J. M.
2011 International Conference on Parallel Architectures and Compilation Techniques, PACT 2011, Galveston, TX, USA, October 10-14, 2011
,
pp. 203-204
,
2011
Self-related traces: An alternative to full-system simulation for NoCs
Triviño, F.; Andujar, F. J.; Alfaro, F. J.; Sánchez, J. L. & Ros, A.
2011 International Conference on High Performance Computing & Simulation, HPCS 2012, Istanbul, Turkey, July 4-8, 2011
,
pp. 819-824
,
2011
ZEBRA: a data-centric, hybrid-policy hardware transactional memory design
Gil, J. R. T.; Negi, A.; Acacio, M. E.; Garcia, J. M. & Stenström, P.
Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31 - June 04, 2011
,
pp. 53-62
,
2011
Eager Meets Lazy: The Impact of Write-Buffering on Hardware Transactional Memory
Negi, A.; Gil, J. R. T.; Acacio, M. E.; Garcia, J. M. & Stenström, P.
International Conference on Parallel Processing, ICPP 2011, Taipei, Taiwan, September 13-16, 2011
,
pp. 73-82
,
2011
Energy-Efficient Cache Coherence Protocols in Chip-Multiprocessors for Server Consolidation
Garcia-Guirado, A.; Pascual, R. F.; Ros, A. & Garcia, J. M.
International Conference on Parallel Processing, ICPP 2011, Taipei, Taiwan, September 13-16, 2011
,
pp. 51-62
,
2011
Token3D: Reducing Temperature in 3D Die-Stacked CMPs through Cycle-Level Power Control Mechanisms
Cebrian, J. M.; Aragón, J. L. & Kaxiras, S.
Euro-Par 2011 Parallel Processing - 17th International Conference, Euro-Par 2011, Bordeaux, France, August 29 - September 2, 2011, Proceedings, Part I
,
Vol. 6852
,
pp. 295-309
,
2011
Accelerating multiple target drug screening on GPUs
Sánchez-Linares, I.; Sánchez, H. E. P.; Guerrero, G. D.; Cecilia, J. M. & Garcia, J. M.
Computational Methods in Systems Biology, 9th International Conference, CMSB 2011, Paris, France, September 21-23, 2011. Proceedings
,
pp. 95-102
,
2011
A Direct Coherence Protocol for Many-Core Chip Multiprocessors
Ros, A.; Acacio, M. E. & Garcia, J. M.
IEEE Trans. Parallel Distributed Syst.
,
Vol. 21
,
pp. 1779-1792
,
2010
Dealing with Transient Faults in the Interconnection Network of CMPs at the Cache Coherence Level
Pascual, R. F.; Garcia, J. M.; Acacio, M. E. & Duato, J.
IEEE Trans. Parallel Distributed Syst.
,
Vol. 21
,
pp. 1117-1131
,
2010
Characterizing the basic synchronization and communication operations in Dual Cell-based Blades through CellStats
Abellán, J. L.; Fernández, J. & Acacio, M. E.
J. Supercomput.
,
Vol. 53
,
pp. 247-268
,
2010
Heterogeneous Interconnects for Energy-Efficient Message Management in CMPs
Flores, A.; Aragón, J. L. & Acacio, M. E.
IEEE Trans. Computers
,
Vol. 59
,
pp. 16-28
,
2010
Implementation and evaluation of active storage in modern parallel file systems
Cánovas, J. P. & Nieplocha, J.
Parallel Comput.
,
Vol. 36
,
pp. 26-47
,
2010
A scalable organization for distributed directories
Ros, A.; Acacio, M. E. & Garcia, J. M.
J. Syst. Archit.
,
Vol. 56
,
pp. 77-87
,
2010
Exploiting address compression and heterogeneous interconnects for efficient message management in tiled CMPs
Flores, A.; Acacio, M. E. & Aragón, J. L.
J. Syst. Archit.
,
Vol. 56
,
pp. 429-441
,
2010
Simulating a P system based efficient solution to SAT by using GPUs
Cecilia, J. M.; Garcia, J. M.; Guerrero, G. D.; Martinez-del-Amor, M. A.; Pérez-Hurtado, I. & Pérez-Jiménez, M. J.
J. Log. Algebraic Methods Program.
,
Vol. 79
,
pp. 317-325
,
2010
Simulation of P systems with active membranes on CUDA
Cecilia, J. M.; Garcia, J. M.; Guerrero, G. D.; Martinez-del-Amor, M. A.; Pérez-Hurtado, I. & Pérez-Jiménez, M. J.
Briefings Bioinform.
,
Vol. 11
,
pp. 313-322
,
2010
Parallel 3D fast wavelet transform on manycore GPUs and multicore CPUs
Franco, J.; Bernabé, G.; Fernández, J. & Ujaldon, M.
Proceedings of the International Conference on Computational Science, ICCS 2010, University of Amsterdam, The Netherlands, May 31 - June 2, 2010
,
Vol. 1
,
pp. 1101-1110
,
2010
A proposal for autotuning linear algebra routines on multicore platforms
Cuenca, J.; Garcia, L. & Giménez, D.
Proceedings of the International Conference on Computational Science, ICCS 2010, University of Amsterdam, The Netherlands, May 31 - June 2, 2010
,
Vol. 1
,
pp. 515-523
,
2010
Simultaneous Evaluation of Multiple I/O Strategies
González-Férez, P.; Piernas, J. & Cortes, T.
22st International Symposium on Computer Architecture and High Performance Computing, SBAC-PAD 2010, Petropolis, Brazil, October 27-30, 2010
,
pp. 183-190
,
2010
Analyzing Cache Coherence Protocols for Server Consolidation
Garcia-Guirado, A.; Pascual, R. F. & Garcia, J. M.
22st International Symposium on Computer Architecture and High Performance Computing, SBAC-PAD 2010, Petropolis, Brazil, October 27-30, 2010
,
pp. 191-198
,
2010
Characterizing Energy Consumption in Hardware Transactional Memory Systems
Gaona-Ramirez, E.; Gil, J. R. T.; Fernández, J. & Acacio, M. E.
22st International Symposium on Computer Architecture and High Performance Computing, SBAC-PAD 2010, Petropolis, Brazil, October 27-30, 2010
,
pp. 9-16
,
2010
Energy-Efficient Hardware Prefetching for CMPs Using Heterogeneous Interconnects
Flores, A.; Aragón, J. L. & Acacio, M. E.
Proceedings of the 18th Euromicro Conference on Parallel, Distributed and Network-based Processing, PDP 2010, Pisa, Italy, February 17-19, 2010
,
pp. 147-154
,
2010
Analysis of the Influence of the Compiler on Multicore Performance
Cuenca, J.; Garcia, L.; Giménez, D. & Quesada, M.
Proceedings of the 18th Euromicro Conference on Parallel, Distributed and Network-based Processing, PDP 2010, Pisa, Italy, February 17-19, 2010
,
pp. 170-174
,
2010
CUDA 2D Stencil Computations for the Jacobi Method
Cecilia, J. M.; Garcia, J. M. & Ujaldon, M.
Applied Parallel and Scientific Computing - 10th International Conference, PARA 2010, Reykjavik, Iceland, June 6-9, 2010, Revised Selected Papers, Part I
,
Vol. 7133
,
pp. 173-183
,
2010
A G-Line-Based Network for Fast and Efficient Barrier Synchronization in Many-Core CMPs
Abellán, J. L.; Fernández, J. & Acacio, M. E.
39th International Conference on Parallel Processing, ICPP 2010, San Diego, California, USA, 13-16 September 2010
,
pp. 267-276
,
2010
A log-based redundant architecture for reliable parallel computation
Sánchez, D.; Aragón, J. L. & Garcia, J. M.
2010 International Conference on High Performance Computing, HiPC 2010, Dona Paula, Goa, India, December 19-22, 2010
,
pp. 1-10
,
2010
EMC(^2): Extending Magny-Cours coherence for large-scale servers
Ros, A.; Cuesta, B.; Pascual, R. F.; Gómez, M. E.; Acacio, M. E.; Robles, A.; Garcia, J. M. & Duato, J.
2010 International Conference on High Performance Computing, HiPC 2010, Dona Paula, Goa, India, December 19-22, 2010
,
pp. 1-10
,
2010
Evaluation of Low-Overhead Organizations for the Directory in Future Many-Core CMPs
Ros, A. & Acacio, M. E.
Euro-Par 2010 Parallel Processing Workshops - HeteroPar, HPCC, HiBB, CoreGrid, UCHPC, HPCF, PROPER, CCPI, VHPC, Ischia, Italy, August 31-September 3, 2010, Revised Selected Papers
,
Vol. 6586
,
pp. 87-97
,
2010
Efficient and scalable barrier synchronization for many-core CMPs
Abellán, J. L.; Fernández, J. & Acacio, M. E.
Proceedings of the 7th Conference on Computing Frontiers, 2010, Bertinoro, Italy, May 17-19, 2010
,
pp. 73-74
,
2010
Detection of Normality/Pathology on Chest Radiographs using LBP
Carrillo-de-Gea, J. M. & Garcia-Mateos, G.
BIOINFORMATICS 2010 - Proceedings of the First International Conference on Bioinformatics, Valencia, Spain, January 20-23, 2010
,
pp. 167-172
,
2010
MLP-Aware Instruction Queue Resizing: The Key to Power-Efficient Performance
Petoumenos, P.; Psychou, G.; Kaxiras, S.; Gonzalez, J. M. C. & Aragón, J. L.
Architecture of Computing Systems - ARCS 2010, 23rd International Conference, Hannover, Germany, February 22-25, 2010. Proceedings
,
Vol. 5974
,
pp. 113-125
,
2010
Make Learning Fun with Programming Contests
Garcia-Mateos, G. & Alemán, J. L. F.
Trans. Edutainment
,
Vol. 2
,
pp. 246-257
,
2009
A lossy 3D wavelet transform for high-quality compression of medical video
Bernabé, G.; Garcia, J. M. & González, J.
J. Syst. Softw.
,
Vol. 82
,
pp. 526-534
,
2009
A Parallel Implementation of the 2D Wavelet Transform Using CUDA
Franco, J.; Bernabé, G.; Fernández, J. & Acacio, M. E.
Proceedings of the 17th Euromicro International Conference on Parallel, Distributed and Network-Based Processing, PDP 2009, Weimar, Germany, 18-20 Febuary 2009
,
pp. 111-118
,
2009
The GPU on the Matrix-Matrix Multiply: Performance Study and Contributions
Cecilia, J. M.; Garcia, J. M. & Ujaldon, M.
Parallel Computing: From Multicores and GPU's to Petascale, Proceedings of the conference ParCo 2009, 1-4 September 2009, Lyon, France
,
Vol. 19
,
pp. 331-340
,
2009
Implementing P Systems Parallelism by Means of GPUs
Cecilia, J. M.; Garcia, J. M.; Guerrero, G. D.; Martinez-del-Amor, M. A.; Pérez-Hurtado, I. & Pérez-Jiménez, M. J.
Membrane Computing, 10th International Workshop, WMC 2009, Curtea de Arges, Romania, August 24-27, 2009. Revised Selected and Invited Papers
,
Vol. 5957
,
pp. 227-241
,
2009
A course on algorithms and data structures using on-line judging
Garcia-Mateos, G. & Alemán, J. L. F.
Proceedings of the 14th Annual SIGCSE Conference on Innovation and Technology in Computer Science Education, ITiCSE 2009, Paris, France, July 6-9, 2009
,
pp. 45-49
,
2009
Extending SRT for parallel applications in tiled-CMP architectures
Sánchez, D.; Aragón, J. L. & Garcia, J. M.
23rd IEEE International Symposium on Parallel and Distributed Processing, IPDPS 2009, Rome, Italy, May 23-29, 2009
,
pp. 1-8
,
2009
Speculation-based conflict resolution in hardware transactional memory
Gil, J. R. T.; Acacio, M. E. & Carrasco, J. M. G.
23rd IEEE International Symposium on Parallel and Distributed Processing, IPDPS 2009, Rome, Italy, May 23-29, 2009
,
pp. 1-12
,
2009
Efficient microarchitecture policies for accurately adapting to power constraints
Cebrian, J. M.; Aragón, J. L.; Garcia, J. M.; Petoumenos, P. & Kaxiras, S.
23rd IEEE International Symposium on Parallel and Distributed Processing, IPDPS 2009, Rome, Italy, May 23-29, 2009
,
pp. 1-12
,
2009
Distance-aware round-robin mapping for large NUCA caches
Ros, A.; Cintra, M.; Acacio, M. E. & Garcia, J. M.
16th International Conference on High Performance Computing, HiPC 2009, December 16-19, 2009, Kochi, India, Proceedings
,
pp. 79-88
,
2009
REPAS: Reliable Execution for Parallel ApplicationS in Tiled-CMPs
Sánchez, D.; Aragón, J. L. & Garcia, J. M.
Euro-Par 2009 Parallel Processing, 15th International Euro-Par Conference, Delft, The Netherlands, August 25-28, 2009. Proceedings
,
Vol. 5704
,
pp. 321-333
,
2009
Fast and Efficient Synchronization and Communication Collective Primitives for Dual Cell-Based Blades
Gaona-Ramirez, E.; Fernández, J. & Acacio, M. E.
Euro-Par 2009 Parallel Processing, 15th International Euro-Par Conference, Delft, The Netherlands, August 25-28, 2009. Proceedings
,
Vol. 5704
,
pp. 900-911
,
2009
Dealing with Traffic-Area Trade-Off in Direct Coherence Protocols for Many-Core CMPs
Ros, A.; Acacio, M. E. & Garcia, J. M.
Advanced Parallel Processing Technologies, 8th International Symposium, APPT 2009, Rapperswil, Switzerland, August 24-25, 2009, Proceedings
,
Vol. 5737
,
pp. 11-27
,
2009
An Experience on Ada Programming Using On-Line Judging
Montoya-Dato, F. J.; Alemán, J. L. F. & Garcia-Mateos, G.
Reliable Software Technologies - Ada-Europe 2009, 14th Ada-Europe International Conference, Brest, France, June 8-12, 2009. Proceedings
,
Vol. 5570
,
pp. 75-89
,
2009
Extending the TokenCMP Cache Coherence Protocol for Low Overhead Fault Tolerance in CMP Architectures
Pascual, R. F.; Garcia, J. M.; Acacio, M. E. & Duato, J.
IEEE Trans. Parallel Distributed Syst.
,
Vol. 19
,
pp. 1044-1056
,
2008
An energy consumption characterization of on-chip interconnection networks for tiled CMP architectures
Flores, A.; Aragón, J. L. & Acacio, M. E.
J. Supercomput.
,
Vol. 45
,
pp. 341-364
,
2008
Optimizing CAM-based instruction cache designs for low-power embedded systems
Aragón, J. L. & Veidenbaum, A. V.
J. Syst. Archit.
,
Vol. 54
,
pp. 1155-1163
,
2008
Two proposals for the inclusion of directory information in the last-level private caches of glueless shared-memory multiprocessors
Ros, A.; Pascual, R. F.; Acacio, M. E. & Garcia, J. M.
J. Parallel Distributed Comput.
,
Vol. 68
,
pp. 1413-1424
,
2008
Characterization of Conflicts in Log-Based Transactional Memory (LogTM)
Gil, J. R. T.; Acacio, M. E. & Carrasco, J. M. G.
16th Euromicro International Conference on Parallel, Distributed and Network-Based Processing (PDP 2008), 13-15 February 2008, Toulouse, France
,
pp. 30-37
,
2008
CellStats: A Tool to Evaluate the Basic Synchronization and Communication Operations of the Cell BE
Abellán, J. L.; Fernández, J. & Acacio, M. E.
16th Euromicro International Conference on Parallel, Distributed and Network-Based Processing (PDP 2008), 13-15 February 2008, Toulouse, France
,
pp. 261-268
,
2008
Evaluating the Effectiveness of REDCAP to Recover the Locality Missed by Today's Linux Systems
González-Férez, P.; Piernas, J. & Cortes, T.
16th International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS 2008), Baltimore, Maryland, USA, September 8-10, 2008
,
pp. 367-370
,
2008
DiCo-CMP: Efficient cache coherency in tiled CMP architectures
Ros, A.; Acacio, M. E. & Garcia, J. M.
22nd IEEE International Symposium on Parallel and Distributed Processing, IPDPS 2008, Miami, Florida USA, April 14-18, 2008
,
pp. 1-11
,
2008
QVision, a Development Framework for Real-time Computer Vision and Image Processing Research
Rodriguez, A. L.; López-de-Teruel, P. E.; Ruiz, A.; Garcia-Mateos, G. & Fernandez-Maimo, L.
Proceedings of the 2008 International Conference on Image Processing, Computer Vision, & Pattern Recognition, IPCV 2008, July 14-17, 2008, Las Vegas Nevada, USA, 2 Volumes
,
pp. 408-414
,
2008
Address Compression and Heterogeneous Interconnects for Energy-Efficient High-Performance in Tiled CMPs
Flores, A.; Acacio, M. E. & Aragón, J. L.
2008 International Conference on Parallel Processing, ICPP 2008, September 8-12, 2008, Portland, Oregon, USA
,
pp. 295-303
,
2008
Improving Metaheuristics for Mapping Independent Tasks into Heterogeneous Memory-Constrained Systems
Cuenca, J. & Giménez, D.
Computational Science - ICCS 2008, 8th International Conference, Kraków, Poland, June 23-25, 2008, Proceedings, Part I
,
Vol. 5101
,
pp. 236-245
,
2008
Characterizing the Basic Synchronization and Communication Operations in Dual Cell-Based Blades
Abellán, J. L.; Fernández, J. & Acacio, M. E.
Computational Science - ICCS 2008, 8th International Conference, Kraków, Poland, June 23-25, 2008, Proceedings, Part I
,
Vol. 5101
,
pp. 456-465
,
2008
An Experimental Environment Validating the Suitability of CLI as an Effective Deployment Format for Embedded Systems
Cornero, M.; Costa, R.; Pascual, R. F.; Ornstein, A. C. & Rohou, E.
High Performance Embedded Architectures and Compilers, Third International Conference, HiPEAC 2008, Göteborg, Sweden, January 27-29, 2008, Proceedings
,
Vol. 4917
,
pp. 130-144
,
2008
Directory-Based Conflict Detection in Hardware Transactional Memory
Gil, J. R. T.; Acacio, M. E. & Garcia, J. M.
High Performance Computing - HiPC 2008, 15th International Conference, Bangalore, India, December 17-20, 2008. Proceedings
,
Vol. 5374
,
pp. 541-554
,
2008
Fault-Tolerant Cache Coherence Protocols for CMPs: Evaluation and Trade-Offs
Pascual, R. F.; Garcia, J. M.; Acacio, M. E. & Duato, J.
High Performance Computing - HiPC 2008, 15th International Conference, Bangalore, India, December 17-20, 2008. Proceedings
,
Vol. 5374
,
pp. 555-568
,
2008
A Design Pattern for Component Oriented Development of Agent Based Multithreaded Applications
Rodriguez, A. L.; López-de-Teruel, P. E.; Ruiz, A.; Garcia-Mateos, G. & Fernóndez, L.
Euro-Par 2008 - Parallel Processing, 14th International Euro-Par Conference, Las Palmas de Gran Canaria, Spain, August 26-29, 2008, Proceedings
,
Vol. 5168
,
pp. 709-718
,
2008
Efficient Management of Complex Striped Files in Active Storage
Piernas, J. & Nieplocha, J.
Euro-Par 2008 - Parallel Processing, 14th International Euro-Par Conference, Las Palmas de Gran Canaria, Spain, August 26-29, 2008, Proceedings
,
Vol. 5168
,
pp. 676-685
,
2008
A fault-tolerant directory-based cache coherence protocol for CMP architectures
Pascual, R. F.; Garcia, J. M.; Acacio, M. E. & Duato, J.
The 38th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, DSN 2008, June 24-27, 2008, Anchorage, Alaska, USA, Proceedings
,
pp. 267-276
,
2008
Estimating 3D facial pose in video with just three points
Garcia-Mateos, G.; Ruiz, A.; López-de-Teruel, P. E.; Rodriguez, A. L. & Fernandez-Maimo, L.
IEEE Conference on Computer Vision and Pattern Recognition, CVPR Workshops 2008, Anchorage, AK, USA, 23-28 June, 2008
,
pp. 1-8
,
2008
Multicore Platforms for Scientific Computing: Cell BE and NVIDIA Tesla
Fernández, J.; Acacio, M. E.; Bernabé, G.; Abellán, J. L. & Franco, J.
Proceedings of the 2008 International Conference on Scientific Computing, CSC 2008, July 14-17, 2008, Las Vegas, Nevada, USA
,
pp. 167-173
,
2008
Scalable Directory Organization for Tiled CMP Architectures
Ros, A.; Acacio, M. E. & Garcia, J. M.
Proceedings of the 2008 International Conference on Computer Design, CDES 2008, Las Vegas, Nevada, USA, July 14-17, 2008
,
pp. 112-118
,
2008
The Design of New Journaling File Systems: The DualFS Case
Piernas, J.; Cortes, T. & Garcia, J. M.
IEEE Trans. Computers
,
Vol. 56
,
pp. 267-281
,
2007
An efficient implementation of a 3D wavelet transform based encoder on hyper-threading technology
Bernabé, G.; Pascual, R. F.; Garcia, J. M.; Acacio, M. E. & González, J.
Parallel Comput.
,
Vol. 33
,
pp. 54-72
,
2007
Evaluation of active storage strategies for the lustre parallel file system
Piernas, J.; Nieplocha, J. & Felix, E. J.
Proceedings of the ACM/IEEE Conference on High Performance Networking and Computing, SC 2007, November 10-16, 2007, Reno, Nevada, USA
,
pp. 28
,
2007
Using Experimental Data to Improve the Performance Modelling of Parallel Linear Algebra Routines
Garcia, L.; Cuenca, J. & Giménez, D.
Parallel Processing and Applied Mathematics, 7th International Conference, PPAM 2007, Gdansk, Poland, September 9-12, 2007, Revised Selected Papers
,
Vol. 4967
,
pp. 1150-1159
,
2007
Using AOP to Automatically Provide Distribution, Fault Tolerance, and Load Balancing to the CORBA-LC Component Model
Sevilla, D.; Garcia, J. M. & Gómez, A.
Parallel Computing: Architectures, Algorithms and Applications, ParCo 2007, Forschungszentrum Jülich and RWTH Aachen University, Germany, 4-7 September 2007
,
Vol. 15
,
pp. 347-354
,
2007
Aspect-Oriented Programing Techniques to support Distribution, Fault Tolerance, and Load Balancing in the CORBA-LC Component Model
Sevilla, D.; Garcia, J. M. & Gómez-Skarmeta, A. F.
Sixth IEEE International Symposium on Network Computing and Applications (NCA 2007), 12 - 14 July 2007, Cambridge, MA, USA
,
pp. 195-204
,
2007
The RAM Enhanced Disk Cache Project (REDCAP)
González-Férez, P.; Piernas, J. & Cortes, T.
24th IEEE Conference on Mass Storage Systems and Technologies (MSST 2007), 24-27 September 2007, San Diego, California, USA
,
pp. 251-256
,
2007
Challenges in Mapping Graph Exploration Algorithms on Advanced Multi-core Processors
Villa, O.; Scarpazza, D. P.; Petrini, F. & Peinador, J. F.
21th International Parallel and Distributed Processing Symposium (IPDPS 2007), Proceedings, 26-30 March 2007, Long Beach, California, USA
,
pp. 1-10
,
2007
Multicore Surprises: Lessons Learned from Optimizing Sweep3D on the Cell Broadband Engine
Petrini, F.; Fossum, G.; Fernández, J.; Varbanescu, A. L.; Kistler, M. & Perrone, M.
21th International Parallel and Distributed Processing Symposium (IPDPS 2007), Proceedings, 26-30 March 2007, Long Beach, California, USA
,
pp. 1-10
,
2007
Leakage Energy Reduction in Value Predictors through Static Decay
Cebrian, J. M.; Aragón, J. L. & Garcia, J. M.
21th International Parallel and Distributed Processing Symposium (IPDPS 2007), Proceedings, 26-30 March 2007, Long Beach, California, USA
,
pp. 1-7
,
2007
Including Improvement of the Execution Time in a Software Architecture of Libraries With Self-Optimisation
Garcia, L.; Cuenca, J. & Giménez, D.
ICSOFT 2007, Proceedings of the Second International Conference on Software and Data Technologies, Volume SE, Barcelona, Spain, July 22-25, 2007
,
pp. 156-161
,
2007
A Low Overhead Fault Tolerant Coherence Protocol for CMP Architectures
Pascual, R. F.; Garcia, J. M.; Acacio, M. E. & Duato, J.
13st International Conference on High-Performance Computer Architecture (HPCA-13 2007), 10-14 February 2007, Phoenix, Arizona, USA
,
pp. 157-168
,
2007
Direct Coherence: Bringing Together Performance and Scalability in Shared-Memory Multiprocessors
Ros, A.; Acacio, M. E. & Garcia, J. M.
High Performance Computing - HiPC 2007, 14th International Conference, Goa, India, December 18-21, 2007, Proceedings
,
Vol. 4873
,
pp. 147-160
,
2007
Efficient Message Management in Tiled CMP Architectures Using a Heterogeneous Interconnection Network
Flores, A.; Aragón, J. L. & Acacio, M. E.
High Performance Computing - HiPC 2007, 14th International Conference, Goa, India, December 18-21, 2007, Proceedings
,
Vol. 4873
,
pp. 133-146
,
2007
DualFS: A New Journaling File System for Linux
Piernas, J. & Faibish, S.
2007 Linux Storage & Filesystem Workshop, LSF 2007, San Jose, CA, USA, February 12-13, 2007
,
2007
Non-collective parallel I/O for global address space programming models
Krishnamoorthy, S.; Piernas, J.; Tipparaju, V.; Nieplocha, J. & Sadayappan, P.
Proceedings of the 2007 IEEE International Conference on Cluster Computing, 17-20 September 2007, Austin, Texas, USA
,
pp. 41-49
,
2007
A proposal of metaheuristics to schedule independent tasks in heterogeneous memory-constrained systems
Cuenca, J.; Giménez, D.; López-Espin, J. & Martinez-Gallar, J.
Proceedings of the 2007 IEEE International Conference on Cluster Computing, 17-20 September 2007, Austin, Texas, USA
,
pp. 422-427
,
2007
Adaptive VP decay: making value predictors leakage-efficient designs for high performance processors
Cebrian, J. M.; Aragón, J. L.; Garcia, J. M. & Kaxiras, S.
Proceedings of the 4th Conference on Computing Frontiers, 2007, Ischia, Italy, May 7-9, 2007
,
pp. 113-122
,
2007
Human Face Processing with 1.5D Models
Garcia-Mateos, G.; Ruiz, A. & López-de-Teruel, P. E.
Analysis and Modeling of Faces and Gestures, Third International Workshop, AMFG 2007, Rio de Janeiro, Brazil, October 20, 2007, Proceedings
,
Vol. 4778
,
pp. 220-234
,
2007
Sim-PowerCMP: A Detailed Simulator for Energy Consumption Analysis in Future Embedded CMP Architectures
Flores, A.; Aragón, J. L. & Acacio, M. E.
21st International Conference on Advanced Information Networking and Applications (AINA 2007), Workshops Proceedings, Volume 1, May 21-23, 2007, Niagara Falls, Canada
,
pp. 752-757
,
2007
STORM: Scalable Resource Management for Large-Scale Parallel Computers
Frachtenberg, E.; Petrini, F.; Fernández, J. & Pakin, S.
IEEE Trans. Computers
,
Vol. 55
,
pp. 1572-1587
,
2006
Control Speculation for Energy-Efficient Next-Generation Superscalar Processors
Aragón, J. L.; González, J. M. & González, A.
IEEE Trans. Computers
,
Vol. 55
,
pp. 281-291
,
2006
NIC-based reduction algorithms for large-scale clusters
Petrini, F.; Moody, A.; Peinador, J. F.; Frachtenberg, E. & Panda, D. K.
Int. J. High Perform. Comput. Netw.
,
Vol. 4
,
pp. 122-136
,
2006
An Abstract Interface for System Software on Large-Scale Clusters
Fernández, J.; Frachtenberg, E.; Petrini, F. & Sancho, J. C.
Comput. J.
,
Vol. 49
,
pp. 454-469
,
2006
On the Evaluation of Dense Chip-Multiprocessor Architectures
Villa, F. J.; Acacio, M. E. & Garcia, J. M.
Proceedings of 2006 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (IC-SAMOS 2006), Samos, Greece, July 17-20, 2006
,
pp. 21-27
,
2006
Automatic Code Generation for Non-Funtional Aspects in the CORBA-LC Component Model
Sevilla, D.; Garcia, J. M. & Gómez, A.
Proceedings of the I. International Conference on Ubiquitous Computing: Applications, Technology and Social Issues, Alcalá de Henares, Madrid, Spain, June 7-9, 2006
,
Vol. 208
,
2006
An efficient cache design for scalable glueless shared-memory multiprocessors
Ros, A.; Acacio, M. E. & Garcia, J. M.
Proceedings of the Third Conference on Computing Frontiers, 2006, Ischia, Italy, May 3-5, 2006
,
pp. 321-330
,
2006
Reducing 3D Fast Wavelet Transform Execution Time Using Blocking and the Streaming SIMD Extensions
Bernabé, G.; Garcia, J. M. & González, J.
J. VLSI Signal Process.
,
Vol. 41
,
pp. 209-223
,
2005
Adaptive Parallel Job Scheduling with Flexible Coscheduling
Frachtenberg, E.; Feitelson, D. G.; Petrini, F. & Fernández, J.
IEEE Trans. Parallel Distributed Syst.
,
Vol. 16
,
pp. 1066-1077
,
2005
A Two-Level Directory Architecture for Highly Scalable cc-NUMA Multiprocessors
Acacio, M. E.; González, J.; Garcia, J. M. & Duato, J.
IEEE Trans. Parallel Distributed Syst.
,
Vol. 16
,
pp. 67-79
,
2005
Heuristics for work distribution of a homogeneous parallel dynamic programming scheme on heterogeneous systems
Cuenca, J.; Giménez, D. & Martinez-Gallar, J.
Parallel Comput.
,
Vol. 31
,
pp. 711-735
,
2005
Evaluating IA-32 web servers through simics: a practical experience
Villa, F. J.; Acacio, M. E. & Garcia, J. M.
J. Syst. Archit.
,
Vol. 51
,
pp. 251-264
,
2005
Assessing MPI Performance on QsNet(^II)
Garcia, P. E.; Fernández, J.; Petrini, F. & Garcia, J. M.
Recent Advances in Parallel Virtual Machine and Message Passing Interface, 12th European PVM/MPI Users' Group Meeting, Sorrento, Italy, September 18-21, 2005, Proceedings
,
Vol. 3666
,
pp. 399-406
,
2005
Optimizing a 3D-FWT Video Encoder for SMPs and HyperThreading Architectures
Pascual, R. F.; Garcia, J. M.; Bernabé, G. & Acacio, M. E.
13th Euromicro Workshop on Parallel, Distributed and Network-Based Processing (PDP 2005), 6-11 February 2005, Lugano, Switzerland
,
pp. 76-83
,
2005
Auto-Optimization of Linear Algebra Parallel Routines: The Cholesky Factorization
Garcia, L.; Cuenca, J. & Giménez, D.
Parallel Computing: Current & Future Issues of High-End Computing, Proceedings of the International Conference ParCo 2005, 13-16 September 2005, Department of Computer Architecture, University of Malaga, Spain
,
Vol. 33
,
pp. 229-236
,
2005
Monitoring and Debugging Parallel Software with BCS-MPI on Large-Scale Clusters
Fernández, J.; Petrini, F. & Frachtenberg, E.
19th International Parallel and Distributed Processing Symposium (IPDPS 2005), CD-ROM / Abstracts Proceedings, 4-8 April 2005, Denver, CO, USA
,
2005
Time and Date OCR in CCTV Video
Garcia-Mateos, G.; Garcia-Meroño, A.; Vicente-Chicote, C.; Ruiz, A. & López-de-Teruel, P. E.
Image Analysis and Processing - ICIAP 2005, 13th International Conference, Cagliari, Italy, September 6-8, 2005, Proceedings
,
Vol. 3617
,
pp. 703-710
,
2005
Memory Subsystem Characterization in a 16-Core Snoop-Based Chip-Multiprocessor Architecture
Villa, F. J.; Acacio, M. E. & Garcia, J. M.
High Performance Computing and Communications, First International Conference, HPCC 2005, Sorrento, Italy, September 21-23, 2005, Proceedings
,
Vol. 3726
,
pp. 213-222
,
2005
A Novel Lightweight Directory Architecture for Scalable Shared-Memory Multiprocessors
Ros, A.; Acacio, M. E. & Garcia, J. M.
Euro-Par 2005, Parallel Processing, 11th International Euro-Par Conference, Lisbon, Portugal, August 30 - September 2, 2005, Proceedings
,
Vol. 3648
,
pp. 582-591
,
2005
Processes Distribution of Homogeneous Parallel Linear Algebra Routines on Heterogeneous Clusters
Cuenca, J.; Garcia, L.; Giménez, D. & Dongarra, J. J.
2005 IEEE International Conference on Cluster Computing (CLUSTER 2005), September 26 - 30, 2005, Boston, Massachusetts, USA
,
pp. 1-10
,
2005
Energy-Effective Instruction Fetch Unit for Wide Issue Processors
Aragón, J. L. & Veidenbaum, A. V.
Advances in Computer Systems Architecture, 10th Asia-Pacific Conference, ACSAC 2005, Singapore, October 24-26, 2005, Proceedings
,
Vol. 3740
,
pp. 15-27
,
2005
Tierra Inhospita: exploring a virtual world with your face
Garcia-Mateos, G. & Muñoz, S. F.
Proceedings of the International Conference on Advances in Computer Entertainment Technology, ACE 2005, Valencia, Spain, June 15-15, 2005
,
pp. 383-384
,
2005
An Architecture for High-Performance Scalable Shared-Memory Multiprocessors Exploiting On-Chip Integration
Acacio, M. E.; González, J.; Garcia, J. M. & Duato, J.
IEEE Trans. Parallel Distributed Syst.
,
Vol. 15
,
pp. 755-768
,
2004
Architecture of an automatically tuned linear algebra library
Cuenca, J.; Giménez, D. & González, J.
Parallel Comput.
,
Vol. 30
,
pp. 187-210
,
2004
Designing polylibraries to speed up linear algebra computations
Alberti, P. V.; Alonso, P.; Vidal, A. M.; Cuenca, J. & Giménez, D.
Int. J. High Perform. Comput. Netw.
,
Vol. 1
,
pp. 75-84
,
2004
Traditional File Systems versus DualFS: A Performance Comparison Approach
Piernas, J.; Cortes, T. & Garcia, J. M.
IEICE Trans. Inf. Syst.
,
Vol. 87-D
,
pp. 1703-1711
,
2004
Automatic Acquisition of Sense Examples Using ExRetriever
Fernández, J.; Castillo, M.; Rigau, G.; Atserias, J. & Turmo, J.
Proceedings of the Fourth International Conference on Language Resources and Evaluation, LREC 2004, May 26-28, 2004, Lisbon, Portugal
,
2004
Heuristics for Work Distribution of a Homogeneous Parallel Dynamic Programming Scheme on Heterogeneous Systems
Cuenca, J.; Giménez, D. & Martinez-Gallar, J.
3rd International Symposium on Parallel and Distributed Computing (ISPDC 2004), 3rd International Workshop on Algorithms, Models and Tools for Parallel Computing on Heterogenous Networks (HeteroPar 2004), 5-7 July 2004, Cork, Ireland
,
pp. 354-361
,
2004
On the Feasibility of Incremental Checkpointing for Scientific Computing
Sancho, J. C.; Petrini, F.; Johnson, G.; Fernández, J. & Frachtenberg, E.
18th International Parallel and Distributed Processing Symposium (IPDPS 2004), CD-ROM / Abstracts Proceedings, 26-30 April 2004, Santa Fe, New Mexico, USA
,
2004
Architectural Support for System Software on Large-Scale Clusters
Fernández, J.; Frachtenberg, E. & Petrini, F.
33rd International Conference on Parallel Processing (ICPP 2004), 15-18 August 2004, Montreal, Quebec, Canada
,
pp. 519-528
,
2004
On the Evaluation of x86 Web Servers Using Simics: Limitations and Trade-Offs
Villa, F. J.; Acacio, M. E. & Garcia, J. M.
Computational Science - ICCS 2004, 4th International Conference, Kraków, Poland, June 6-9, 2004, Proceedings, Part I
,
Vol. 3036
,
pp. 541-544
,
2004
Designing Parallel Operating Systems via Parallel Programming
Frachtenberg, E.; Davis, K.; Petrini, F.; Fernández, J. & Sancho, J. C.
Euro-Par 2004 Parallel Processing, 10th International Euro-Par Conference, Pisa, Italy, August 31-September 3, 2004, Proceedings
,
Vol. 3149
,
pp. 689-696
,
2004
Energy-Efficient Design for Highly Associative Instruction Caches in Next-Generation Embedded Processors
Aragón, J. L.; Nicolaescu, D.; Veidenbaum, A. V. & Badulescu, A.
2004 Design, Automation and Test in Europe Conference and Exposition (DATE 2004), 16-20 February 2004, Paris, France
,
pp. 1374-1375
,
2004
Multiscale estimation of processes related to the fractional Black-Scholes equation
Pascual, R. F.; Ruiz-Medina, M. D. & Angulo, J. M.
Comput. Stat.
,
Vol. 18
,
pp. 401-415
,
2003
Scalable NIC-based Reduction on Large-scale Clusters
Moody, A.; Fernández, J.; Petrini, F. & Panda, D. K.
Proceedings of the ACM/IEEE SC2003 Conference on High Performance Networking and Computing, 15-21 November 2003, Phoenix, AZ, USA, CD-Rom
,
pp. 59
,
2003
BCS-MPI: A New Approach in the System Software Design for Large-Scale Parallel Computers
Fernández, J.; Frachtenberg, E. & Petrini, F.
Proceedings of the ACM/IEEE SC2003 Conference on High Performance Networking and Computing, 15-21 November 2003, Phoenix, AZ, USA, CD-Rom
,
pp. 57
,
2003
Empirical Modelling of Parallel Linear Algebra Routines
Cuenca, J.; Garcia, L.; Giménez, D.; González, J. & Vidal, A. M.
Parallel Processing and Applied Mathematics, 5th International Conference, PPAM 2003, Czestochowa, Poland, September 7-10, 2003. Revised Papers
,
Vol. 3019
,
pp. 169-174
,
2003
Automatic Optimisation of Parallel Linear Algebra Routines in Systems with Variable Load
Cuenca, J.; Giménez, D.; González, J.; Dongarra, J. J. & Roche, K.
11th Euromicro Workshop on Parallel, Distributed and Network-Based Processing (PDP 2003), 5-7 February 2003, Genova, Italy
,
pp. 409-416
,
2003
Reducing 3D Wavelet Transform Execution Time through the Streaming SIMD Extensions
Bernabé, G.; Garcia, J. M. & González, J.
11th Euromicro Workshop on Parallel, Distributed and Network-Based Processing (PDP 2003), 5-7 February 2003, Genova, Italy
,
pp. 49-56
,
2003
Parallel Job Scheduling under Dynamic Workloads
Frachtenberg, E.; Feitelson, D. G.; Fernández, J. & Petrini, F.
Job Scheduling Strategies for Parallel Processing, 9th International Workshop, JSSPP 2003, Seattle, WA, USA, June 24, 2003, Revised Papers
,
Vol. 2862
,
pp. 208-227
,
2003
Grid-aware Component-based development in CORBA Lightweight Components
Sevilla, D.; Pérez, J. A.; Garcia, J. M. & Gómez-Skarmeta, A. F.
VIII Jornadas Ingenieria del Software y Bases de Datos (JISBD 2003), 12-14 Noviembre 2003, Alicante
,
pp. 25-34
,
2003
Flexible CoScheduling: Mitigating Load Imbalance and Improving Utilization of Heterogeneous Resources
Frachtenberg, E.; Feitelson, D. G.; Petrini, F. & Fernández, J.
17th International Parallel and Distributed Processing Symposium (IPDPS 2003), 22-26 April 2003, Nice, France, CD-ROM/Abstracts Proceedings
,
pp. 85
,
2003
Real-Time Extraction of Colored Segments for Robot Visual Navigation
López-de-Teruel, P. E.; Ruiz, A.; Garcia-Mateos, G. & Garcia, J. M.
Computer Vision Systems, Third International Conference, ICVS 2003, Graz, Austria, April 1-3, 2003, Proceedings
,
Vol. 2626
,
pp. 428-437
,
2003
Power-Aware Control Speculation through Selective Throttling
Aragón, J. L.; González, J. & González, A.
Proceedings of the Ninth International Symposium on High-Performance Computer Architecture (HPCA'03), Anaheim, California, USA, February 8-12, 2003
,
pp. 103-112
,
2003
Scalable collective communication on the ASCI Q machine
Petrini, F.; Peinador, J. F.; Frachtenberg, E. & Coll, S.
Proceedings of the 11th Annual IEEE Symposium on High Performance Interconnects, HOTIC 2003, August 20-22, 2003, Stanford, CA, USA
,
pp. 54-59
,
2003
Design and Implementation of a Grid-Enabled Component Container for CORBA Lightweight Components
Sevilla, D.; Garcia, J. M. & Gómez-Skarmeta, A. F.
Grid Computing, First European Across Grids Conference, Santiago de Compostela, Spain, February 13-14, 2003, Revised Papers
,
Vol. 2970
,
pp. 59-66
,
2003
Refining Face Tracking with Integral Projections
Garcia-Mateos, G.
Audio-and Video-Based Biometrie Person Authentication, 4th International Conference, AVBPA 2003, Guildford, UK, June 9-11, 2003 Proceedings
,
Vol. 2688
,
pp. 360-368
,
2003
Congestion Control for High Performance Virtual Cut-through Networks
Fernandez-Maimo, L. & Garcia, J. M.
The 21st IASTED International Multi-Conference on Applied Informatics (AI 2003), February 10-13, 2003, Innsbruck, Austria
,
pp. 608-614
,
2003
Extending the ODMG standard with views
Molina, J. G.; Ortin-Ibáñez, M. & Garcia-Mateos, G.
Inf. Softw. Technol.
,
Vol. 44
,
pp. 161-173
,
2002
MPI-Delphi: an MPI implementation for visual programming environments and heterogeneous computing
Acacio, M. E.; Reverte, Ó. C.; Garcia, J. M. & López-de-Teruel, P. E.
Future Gener. Comput. Syst.
,
Vol. 18
,
pp. 317-333
,
2002
Face Detection Using Integral Projection Models
Garcia-Mateos, G.; Ruiz, A. & López-de-Teruel, P. E.
Structural, Syntactic, and Statistical Pattern Recognition, Joint IAPR International Workshops SSPR 2002 and SPR 2002, Windsor, Ontario, Canada, August 6-9, 2002, Proceedings
,
Vol. 2396
,
pp. 644-653
,
2002
STORM: lightning-fast resource management
Frachtenberg, E.; Petrini, F.; Fernández, J.; Pakin, S. & Coll, S.
Proceedings of the 2002 ACM/IEEE conference on Supercomputing, Baltimore, Maryland, USA, November 16-22, 2002, CD-ROM
,
pp. 55:1-55:26
,
2002
Owner prediction for accelerating cache-to-cache transfer misses in a cc-NUMA architecture
Acacio, M. E.; González, J.; Garcia, J. M. & Duato, J.
Proceedings of the 2002 ACM/IEEE conference on Supercomputing, Baltimore, Maryland, USA, November 16-22, 2002, CD-ROM
,
pp. 1:1-1:12
,
2002
Towards the Design of an Automatically Tuned Linear Algebra Library
Cuenca, J.; Giménez, D. & González, J.
10th Euromicro Workshop on Parallel, Distributed and Network-Based Processing (PDP 2002), 9-11 January 2002, Canary Islands, Spain
,
pp. 201
,
2002
Reducing the Latency of L2 Misses in Shared-Memory Multiprocessors through On-Chip Directory Integration
Acacio, M. E.; González, J.; Garcia, J. M. & Duato, J.
10th Euromicro Workshop on Parallel, Distributed and Network-Based Processing (PDP 2002), 9-11 January 2002, Canary Islands, Spain
,
pp. 368-375
,
2002
Improving the Performance of Real-Time Communication Services on High-Speed LANs under Topology Changes
Fernández, J.; Garcia, J. M. & Duato, J.
27th Annual IEEE Conference on Local Computer Networks (LCN 2002), 6-8 November 2002, Tampa, FL, USA, Proceedings
,
pp. 385-394
,
2002
A Novel Approach to Reduce L2 Miss Latency in Shared-Memory Multiprocessors
Acacio, M. E.; González, J.; Garcia, J. M. & Duato, J.
16th International Parallel and Distributed Processing Symposium (IPDPS 2002), 15-19 April 2002, Fort Lauderdale, FL, USA, CD-ROM/Abstracts Proceedings
,
2002
The Use of Prediction for Accelerating Upgrade Misses in cc-NUMA Multiprocessors
Acacio, M. E.; González, J.; Garcia, J. M. & Duato, J.
2002 International Conference on Parallel Architectures and Compilation Techniques (PACT 2002), 22-25 September 2002, Charlottesville, VA, USA
,
pp. 155-164
,
2002
DualFS: a new journaling file system without meta-data duplication
Piernas, J.; Cortes, T. & Garcia, J. M.
Proceedings of the 16th international conference on Supercomputing, ICS 2002, New York City, NY, USA, June 22-26, 2002
,
pp. 137-146
,
2002
Dual path instruction processing
Aragón, J. L.; González, J.; González, A. & Smith, J. E.
Proceedings of the 16th international conference on Supercomputing, ICS 2002, New York City, NY, USA, June 22-26, 2002
,
pp. 220-229
,
2002
A Note on Principal Point Estimability
Ruiz, A.; López-de-Teruel, P. E. & Garcia-Mateos, G.
16th International Conference on Pattern Recognition, ICPR 2002, Quebec, Canada, August 11-15, 2002
,
pp. 304-307
,
2002
Memory Conscious 3D Wavelet Transform
Bernabé, G.; González, J.; Garcia, J. M. & Duato, J.
28th EUROMICRO Conference 2002, 4-6 September 2002, Dortmund, Germany
,
pp. 108-113
,
2002
Scalable Resource Management in High Performance Computers
Frachtenberg, E.; Petrini, F.; Fernández, J. & Coll, S.
2002 IEEE International Conference on Cluster Computing (CLUSTER 2002), 23-26 September 2002, Chicago, IL, USA
,
pp. 305
,
2002
Evaluating the DIPORSI Framework: Distributed Processing of Remotely Sensed Imagery
Gallud, J. A.; Garcia-Consuegra, J. D.; Garcia, J. M. & Orozco-Barbosa, L.
Recent Advances in Parallel Virtual Machine and Message Passing Interface, 8th European PVM/MPI Users' Group Meeting, Santorini/Thera, Greece, September 23-26, 2001, Proceedings
,
Vol. 2131
,
pp. 401-409
,
2001
Modeling the Behaviour of Linear Algebra Algorithms with Message-Passing
Cuenca, J.; Giménez, D. & González, J.
Ninth Euromicro Workshop on Parallel and Distributed Processing, PDP 2001, 7-9 February 2001, Mantova, Italy
,
pp. 282-289
,
2001
CORBA Lightweight Compontents: An Early Report
Sevilla, D.; Garcia, J. M. & Gómez-Skarmeta, A. F.
VI Jornadas de Ingenieria del Software y Bases de Datos (JISBD 2001), 1-23 de Noviembre de 2001, Almagro (Ciudad Real)
,
pp. 69-84
,
2001
A New Approach to Provide Real-Time Services on High-Speed Local Area Networks
Fernández, J.; Garcia, J. M. & Duato, J.
Proceedings of the 15th International Parallel & Distributed Processing Symposium (IPDPS-01), San Francisco, CA, USA, April 23-27, 2001
,
pp. 124
,
2001
Design and Implementation Requirements for CORBA Lightweight Components
Sevilla, D.; Garcia, J. M. & Gómez-Skarmeta, A. F.
30th International Workshops on Parallel Processing (ICPP 2001 Workshops), 3-7 September 2001, Valencia, Spain
,
pp. 213-220
,
2001
Selective Branch Prediction Reversal By Correlating with Data Values and Control Flow
Aragón, J. L.; González, J.; Garcia, J. M. & González, A.
19th International Conference on Computer Design (ICCD 2001), VLSI in Computers and Processors, 23-26 September 2001, Austin, TX, USA, Proceedings
,
pp. 228-233
,
2001
A New Scalable Directory Architecture for Large-Scale Multiprocessors
Acacio, M. E.; González, J.; Garcia, J. M. & Duato, J.
Proceedings of the Seventh International Symposium on High-Performance Computer Architecture (HPCA'01), Nuevo Leone, Mexico, January 20-24, 2001
,
pp. 97-106
,
2001
Performance Evaluation of Real-Time Communication Services on High-Speed LANs under Topology Changes
Fernández, J.; Garcia, J. M. & Duato, J.
High Performance Computing - HiPC 2001, 8th International Conference, Hyderabad, India, December, 17-20, 2001, Proceedings
,
Vol. 2228
,
pp. 341-350
,
2001
Confidence Estimation for Branch Prediction Reversal
Aragón, J. L.; González, J.; Garcia, J. M. & González, A.
High Performance Computing - HiPC 2001, 8th International Conference, Hyderabad, India, December, 17-20, 2001, Proceedings
,
Vol. 2228
,
pp. 214-223
,
2001
CORBA Lightweight Components : A Model for Distributed Component-BasedHeterogeneous Computation
Sevilla, D.; Garcia, J. M. & Gómez-Skarmeta, A. F.
Euro-Par 2001: Parallel Processing, 7th International Euro-Par Conference Manchester, UK August 28-31, 2001, Proceedings
,
Vol. 2150
,
pp. 845-854
,
2001
On Deadlock Frequency during Dynamic Reconfiguration in NOWs
Fernandez-Maimo, L.; Garcia, J. M. & Casado, R.
Euro-Par 2001: Parallel Processing, 7th International Euro-Par Conference Manchester, UK August 28-31, 2001, Proceedings
,
Vol. 2150
,
pp. 630-638
,
2001
Face Detection on Still Images Using HIT Maps
Garcia-Mateos, G. & Vicente-Chicote, C.
Audio- and Video-Based Biometric Person Authentication, Third International Conference, AVBPA 2001 Halmstad, Sweden, June 6-8, 2001, Proceedings
,
Vol. 2091
,
pp. 102-107
,
2001
Dynamic reconfiguration of node location in wormhole networks
Sánchez, J. L. & Garcia, J. M.
J. Syst. Archit.
,
Vol. 46
,
pp. 873-888
,
2000
A New Model and Process Architecture for Facial Expression Recognition
Garcia-Mateos, G. & Vicente-Chicote, C.
Advances in Pattern Recognition, Joint IAPR International Workshops SSPR 2000 and SPR 2000, [8th International Workshop on Structural and Syntactic Pattern Recognition, 3rd International Workshop on Statistical Techniques in Pattern Recognition], Alicante, Spain, August 30 - September 1, 2000, Proceedings
,
Vol. 1876
,
pp. 716-726
,
2000
Inclusión de Vistas en ODMG
Garcia-Mateos, G.; Molina, J. G. & Ortin-Ibáñez, M.
V Jornadas Ingenieria de Software y Bases de Datos (JISBD 2000), 8, 9 y 10 de noviembre, Valladolid
,
pp. 383-396
,
2000
A Parallel Algorithm for Tracking of Segments in Noisy Edge Images
López-de-Teruel, P. E.; Ruiz, A. & Garcia, J. M.
15th International Conference on Pattern Recognition, ICPR'00, Barcelona, Spain, September 3-8, 2000
,
pp. 4807-4811
,
2000
Cluster Computing Using MPI and Windows NT to Solve the Processing of Remotely Sensed Imagery
Gallud, J. A.; Garcia, J. M. & Garcia-Consuegra, J. D.
Recent Advances in Parallel Virtual Machine and Message Passing Interface, 6th European PVM/MPI Users' Group Meeting, Barcelona, Spain, September 26-29, 1999, Proceedings
,
Vol. 1697
,
pp. 442-449
,
1999
The Parallel EM Algorithm and its Applications in Computer Vision
López-de-Teruel, P. E.; Garcia, J. M. & Acacio, M. E.
Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, PDPTA 1999, June 28 - Junlly 1, 1999, Las Vegas, Nevada, USA
,
pp. 571-578
,
1999
The MPI-Delphi Interface: A Visual Programming Environment for Clusters of Workstations
Acacio, M. E.; López-de-Teruel, P. E.; Garcia, J. M. & Reverte, Ó. C.
Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, PDPTA 1999, June 28 - Junlly 1, 1999, Las Vegas, Nevada, USA
,
pp. 1730-1736
,
1999
A Performance Evaluation of P-EDR in Different Parallel Environments
Acacio, M. E.; Garcia, J. M. & López-de-Teruel, P. E.
Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, PDPTA 1999, June 28 - Junlly 1, 1999, Las Vegas, Nevada, USA
,
pp. 744-750
,
1999
Implementation of parallel one-sided block Jacobi methods for the symmetric eigenvalue problem
Cuenca, J. & Giménez, D.
Parallel Computing: Fundamentals & Applications, Proceedings of the Conference ParCo'99, 17-20 August 1999, Delft, The Netherlands
,
pp. 291-298
,
1999
P-EDR: An Algorithm for Parallel Implementation of Parzen Density Estimation from Uncertain Observations
López-de-Teruel, P. E.; Garcia, J. M.; Acacio, M. E. & Reverte, Ó. C.
13th International Parallel Processing Symposium / 10th Symposium on Parallel and Distributed Processing (IPPS / SPDP '99), 12-16 April 1999, San Juan, Puerto Rico, Proceedings
,
pp. 563-568
,
1999
An Evaluation of Parallel Computing in PC Clusters with Fast Ethernet
Acacio, M. E.; Reverte, Ó. C.; Garcia, J. M. & López-de-Teruel, P. E.
Parallel Computation, 4th International ACPC Conference Including Special Tracks on Parallel Numerics (ParNum'99) and Parallel Computing in Image Processing, Video Processing, and Multimedia, Salzburg, Austria, February 1999, Proceedings
,
Vol. 1557
,
pp. 570-571
,
1999
Using channel pipelining in reconfigurable interconnection networks
Sánchez, J. L.; Duato, J. & Garcia, J. M.
Proceedings of the Sixth Euromicro Workshop on Parallel and Distributed Processing - PDP '98, University of Madrid, Madrid, Spain, January 21-23, 1998-
,
pp. 120-126
,
1998
Improving the Performance of Scientific Parallel Applications in a Cluster of Workstations
Flores, A. & Garcia, J. M.
Applied Parallel Computing, Large Scale Scientific and Industrial Problems, 4th International Workshop, PARA '98, Umeå, Sweden, June 14-17, 1998, Proceedings
,
Vol. 1541
,
pp. 134-141
,
1998
Reconfigurable Wormhole Networks: A Realistic Approach
Sánchez, J. L.; Garcia, J. M. & Alfaro, F. J.
Parallel and Distributed Processing, 10 IPPS/SPDP'98 Workshops Held in Conjunction with the 12th International Parallel Processing Symposium and 9th Symposium on Parallel and Distributed Processing, Orlando, Florida, USA, March 30 - April 3, 1998, Proceedings
,
Vol. 1388
,
pp. 428-437
,
1998
Analyzing the Performance of MPI in a Cluster of Workstations Based on Fast Ethernet
Piernas, J.; Flores, A. & Garcia, J. M.
Recent Advances in Parallel Virtual Machine and Message Passing Interface, 4th European PVM/MPI Users' Group Meeting, Cracow, Poland, November 3-5, 1997, Proceedings
,
Vol. 1332
,
pp. 17-24
,
1997
PEPE: A Trace-Driven Simulator to Evaluate Reconfigurable Multicomputer Architectures
Garcia, J. M.; Sánchez, J. L. & González, P.
Applied Parallel Computing, Industrial Computation and Optimization, Third International Workshop, PARA 96, Lyngby, Denmark, August 18-21, 1996, Proceedings
,
Vol. 1184
,
pp. 302-311
,
1996
A Novel Approach to Improve the Performance of Interconnection Networks with Hot - Spots
Garcia, J. M. & Flores, A.
22rd EUROMICRO Conference '96, Beyond 2000: Hardware and Software Design Strategies, September 2-5, 1996, Prague, Czech Republic
,
pp. 215-222
,
1996
The Specification of a Generic Multicomputer Using Lotos
Gallud, J. A. & Garcia, J. M.
ACM SIGPLAN Notices
,
Vol. 30
,
pp. 21-24
,
1995
Improving the Performance of Parallel Triangularization of a Sparse Matrix Using a Reconfigurable Multicomputer
Sánchez, J. L.; Garcia, J. M. & Fernández, J.
Applied Parallel Computing, Computations in Physics, Chemistry and Engineering Science, Second International Workshop, PARA '95, Lyngby, Denmark, August 21-24, 1995, Proceedings
,
Vol. 1041
,
pp. 493-502
,
1995
Dynamic reconfiguration of multicomputer networks: limitations and tradeoffs
Garcia, J. M. & Duato, J.
1993 Euromicro Workshop on Parallel and Distributed Processing, PDP 1993, Gran Canaria, Spain, 27-29 January 1993
,
pp. 317-323
,
1993
A new language for multicomputer programming
Carrasco, J. M. G.
ACM SIGPLAN Notices
,
Vol. 27
,
pp. 47-53
,
1992
An algorithm for dynamic reconfiguration of a multicomputer network
Garcia, J. M. & Duato, J.
Proceedings of the Third IEEE Symposium on Parallel and Distributed Processing, SPDP 1991, 2-5 December 1991, Dallas, Texas, USA
,
pp. 848-855
,
1991